From 66cda812337245d38f7d74716959763e97927794 Mon Sep 17 00:00:00 2001 From: CGH0S7 <776459475@qq.com> Date: Sat, 28 Dec 2024 08:39:07 +0800 Subject: [PATCH] Core Opimized --- .gitignore | 2 +- Top.sv | 22 +- TopOrigin.sv | 431 ++++++++++++++++++ .../$57f93b93490ea1894b63.cache | 1 + .../$534d41cbf8671b3d9a73.cache | 1 + .../streams/update_cache_2.12/output_dsp | 2 +- .../_global/_global/compileOutputs/previous | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../compile/incOptions/_global/streams/out | 6 +- .../_global/streams/export | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../fullClasspath/_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../$c1a540d1f89b99cfe151.cache | 1 + .../$ce6b00de31b68d292230.cache | 1 + .../streams/update_cache_2.12/output_dsp | 2 +- .../_global/_global/compileOutputs/previous | 2 +- .../compile/bloopGenerate/_global/streams/out | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../compile/incOptions/_global/streams/out | 6 +- .../_global/streams/export | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../fullClasspath/_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../$0dd3447347b5224615e5.cache | 1 + .../$6becda8e2cd53152091b.cache | 1 + .../$afff5d25069ab86e2b89.cache | 1 + .../$cc949d4e621f82777efc.cache | 1 + .../streams/update_cache_2.12/output_dsp | 2 +- .../_global/_global/compileOutputs/previous | 2 +- .../compile/bloopGenerate/_global/streams/out | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../compile/incOptions/_global/streams/out | 6 +- .../_global/streams/export | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../fullClasspath/_global/streams/export | 2 +- .../_global/streams/export | 2 +- src/main/scala/common/Instructions.scala | 2 + src/main/scala/micore/Core.scala | 15 +- src/main/scala/micore/Top.scala | 10 +- target/scala-2.13/-name-_2.13-0.1.0.jar | Bin 66137 -> 66919 bytes target/scala-2.13/zinc/inc_compile_2.13.zip | Bin 14428 -> 14709 bytes .../_global/_global/compileOutputs/previous | 2 +- .../_global/discoveredMainClasses/data | 2 +- .../compile/compile/_global/streams/out | 4 + .../compileIncremental/_global/streams/out | 47 +- .../_global/streams/export | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../compile/incOptions/_global/streams/out | 64 +-- .../compile/packageBin/_global/streams/inputs | 2 +- .../compile/packageBin/_global/streams/out | 56 +-- .../compile/packageBin/_global/streams/output | 2 +- .../_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../_global/streams/export | 2 +- 56 files changed, 591 insertions(+), 154 deletions(-) create mode 100755 TopOrigin.sv create mode 100755 project/project/project/project/target/config-classes/$57f93b93490ea1894b63.cache create mode 100755 project/project/project/target/config-classes/$534d41cbf8671b3d9a73.cache create mode 100755 project/project/target/config-classes/$c1a540d1f89b99cfe151.cache create mode 100755 project/project/target/config-classes/$ce6b00de31b68d292230.cache create mode 100755 project/target/config-classes/$0dd3447347b5224615e5.cache create mode 100755 project/target/config-classes/$6becda8e2cd53152091b.cache create mode 100755 project/target/config-classes/$afff5d25069ab86e2b89.cache create mode 100755 project/target/config-classes/$cc949d4e621f82777efc.cache mode change 100644 => 100755 target/scala-2.13/-name-_2.13-0.1.0.jar mode change 100644 => 100755 target/scala-2.13/zinc/inc_compile_2.13.zip diff --git a/.gitignore b/.gitignore index 1b6a23e..2dede9b 100755 --- a/.gitignore +++ b/.gitignore @@ -6,7 +6,7 @@ .bloop .scalafmt.conf *.code-workspace -target +target/* .vscode # virtual machine crash logs, see http://www.java.com/en/download/help/error_hotspot.xml diff --git a/Top.sv b/Top.sv index e25dbb8..1925645 100755 --- a/Top.sv +++ b/Top.sv @@ -107,10 +107,11 @@ module Core( automatic logic _csignals_T_33; automatic logic _csignals_T_35; automatic logic _csignals_T_37; + automatic logic _csignals_T_39; automatic logic _GEN_2; automatic logic _GEN_3; automatic logic [1:0] csignals_1; - automatic logic [2:0] _csignals_T_90; + automatic logic [2:0] _csignals_T_95; automatic logic [31:0] _GEN_4 = {27'h0, exe_reg_op2_data[4:0]}; automatic logic [62:0] _exe_alu_out_T_8 = {31'h0, exe_reg_op1_data} << exe_reg_op2_data[4:0]; @@ -148,14 +149,17 @@ module Core( _csignals_T_33 = id_inst == 32'hC000000; _csignals_T_35 = _GEN_0 == 20'h8; _csignals_T_37 = {id_inst[31:22], id_inst[9:0]} == 20'h78000; + _csignals_T_39 = id_inst == 32'h0; _GEN_2 = _csignals_T_29 | _csignals_T_31; _GEN_3 = _csignals_T_21 | _csignals_T_23 | _csignals_T_25 | _csignals_T_27 | _GEN_2; csignals_1 = _csignals_T_5 | _csignals_T_7 | _csignals_T_9 | _csignals_T_11 | _csignals_T_13 | _csignals_T_15 | _csignals_T_17 | _csignals_T_19 | _GEN_3 ? 2'h0 - : _csignals_T_33 ? 2'h1 : _csignals_T_35 ? 2'h0 : {_csignals_T_37, 1'h0}; - _csignals_T_90 = + : _csignals_T_33 + ? 2'h1 + : _csignals_T_35 ? 2'h0 : {_csignals_T_37 | _csignals_T_39, 1'h0}; + _csignals_T_95 = _csignals_T_5 ? 3'h1 : _csignals_T_7 @@ -168,7 +172,9 @@ module Core( ? 3'h1 : _csignals_T_33 ? 3'h4 - : _csignals_T_35 ? 3'h0 : {_csignals_T_37, 2'h1}; + : _csignals_T_35 + ? 3'h0 + : _csignals_T_37 ? 3'h5 : {2'h0, ~_csignals_T_39}; exe_alu_out = exe_reg_exe_fun == 5'hE ? exe_reg_op1_data @@ -212,13 +218,13 @@ module Core( exe_reg_op1_data <= id_reg_pc; else exe_reg_op1_data <= 32'h0; - if (_csignals_T_90 == 3'h5) + if (_csignals_T_95 == 3'h5) exe_reg_op2_data <= {id_inst[15:0], 16'h0}; - else if (_csignals_T_90 == 3'h4) + else if (_csignals_T_95 == 3'h4) exe_reg_op2_data <= {{4{id_inst[25]}}, id_inst[25:0], 2'h0}; - else if (_csignals_T_90 == 3'h3 | _csignals_T_90 == 3'h2) + else if (_csignals_T_95 == 3'h3 | _csignals_T_95 == 3'h2) exe_reg_op2_data <= {{16{id_inst[15]}}, id_inst[15:0]}; - else if (_csignals_T_90 != 3'h1 | _id_rs2_data_T) + else if (_csignals_T_95 != 3'h1 | _id_rs2_data_T) exe_reg_op2_data <= 32'h0; else exe_reg_op2_data <= _id_rs2_data_T_8; diff --git a/TopOrigin.sv b/TopOrigin.sv new file mode 100755 index 0000000..881df86 --- /dev/null +++ b/TopOrigin.sv @@ -0,0 +1,431 @@ +// Generated by CIRCT firtool-1.62.0 +// VCS coverage exclude_file +module regfile_32x32( + input [4:0] R0_addr, + input R0_en, + R0_clk, + output [31:0] R0_data, + input [4:0] R1_addr, + input R1_en, + R1_clk, + output [31:0] R1_data, + input [4:0] W0_addr, + input W0_en, + W0_clk, + input [31:0] W0_data +); + + reg [31:0] Memory[0:31]; + reg _R0_en_d0; + reg [4:0] _R0_addr_d0; + always @(posedge R0_clk) begin + _R0_en_d0 <= R0_en; + _R0_addr_d0 <= R0_addr; + end // always @(posedge) + reg _R1_en_d0; + reg [4:0] _R1_addr_d0; + always @(posedge R1_clk) begin + _R1_en_d0 <= R1_en; + _R1_addr_d0 <= R1_addr; + end // always @(posedge) + always @(posedge W0_clk) begin + if (W0_en & 1'h1) + Memory[W0_addr] <= W0_data; + end // always @(posedge) + assign R0_data = _R0_en_d0 ? Memory[_R0_addr_d0] : 32'bx; + assign R1_data = _R1_en_d0 ? Memory[_R1_addr_d0] : 32'bx; +endmodule + +module Core( + input clock, + reset, + output [31:0] io_imem_addr, + input [31:0] io_imem_inst, + output [31:0] io_dmem_addr, + input [31:0] io_dmem_rdata, + output io_dmem_wen, + output [31:0] io_dmem_wdata, + output io_exit +); + + wire [31:0] _regfile_ext_R0_data; + wire [31:0] _regfile_ext_R1_data; + reg [31:0] id_reg_pc; + reg [31:0] id_reg_inst; + reg [31:0] exe_reg_pc; + reg [4:0] exe_reg_wb_addr; + reg [31:0] exe_reg_op1_data; + reg [31:0] exe_reg_op2_data; + reg [31:0] exe_reg_rs2_data; + reg [4:0] exe_reg_exe_fun; + reg [1:0] exe_reg_mem_wen; + reg [1:0] exe_reg_rf_wen; + reg [2:0] exe_reg_wb_sel; + reg [31:0] exe_reg_imm_b_sext; + reg [31:0] mem_reg_pc; + reg [4:0] mem_reg_wb_addr; + reg [31:0] mem_reg_alu_out; + reg [31:0] mem_reg_rs2_data; + reg [1:0] mem_reg_rf_wen; + reg [2:0] mem_reg_wb_sel; + reg [1:0] mem_reg_mem_wen; + reg [4:0] wb_reg_wb_addr; + reg [1:0] wb_reg_rf_wen; + reg [31:0] wb_reg_wb_data; + reg [31:0] if_reg_pc; + wire _id_rs2_data_T_5 = wb_reg_rf_wen == 2'h1; + wire exe_br_flg = + exe_reg_exe_fun == 5'hC + ? exe_reg_op1_data != exe_reg_op2_data + : exe_reg_exe_fun == 5'hB & exe_reg_op1_data == exe_reg_op2_data; + wire exe_jmp_flg = exe_reg_wb_sel == 3'h3; + always @(posedge clock) begin + automatic logic _id_inst_T = exe_br_flg | exe_jmp_flg; + automatic logic _id_rs2_data_hazard_T = exe_reg_rf_wen == 2'h1; + automatic logic stall_flg; + automatic logic [31:0] id_inst; + automatic logic _id_rs2_data_T_2; + automatic logic _id_rs2_data_T; + automatic logic [31:0] _id_rs2_data_T_8; + automatic logic [16:0] _GEN; + automatic logic _csignals_T_5; + automatic logic [19:0] _GEN_0; + automatic logic _csignals_T_7; + automatic logic _csignals_T_9; + automatic logic _csignals_T_11; + automatic logic _csignals_T_13; + automatic logic _csignals_T_15; + automatic logic _csignals_T_17; + automatic logic _csignals_T_19; + automatic logic [16:0] _GEN_1; + automatic logic _csignals_T_21; + automatic logic _csignals_T_23; + automatic logic _csignals_T_25; + automatic logic _csignals_T_27; + automatic logic _csignals_T_29; + automatic logic _csignals_T_31; + automatic logic _csignals_T_33; + automatic logic _csignals_T_35; + automatic logic _csignals_T_37; + automatic logic _csignals_T_39; + automatic logic _GEN_2; + automatic logic _GEN_3; + automatic logic [1:0] csignals_1; + automatic logic [2:0] _csignals_T_95; + automatic logic [31:0] _GEN_4 = {27'h0, exe_reg_op2_data[4:0]}; + automatic logic [62:0] _exe_alu_out_T_8 = + {31'h0, exe_reg_op1_data} << exe_reg_op2_data[4:0]; + automatic logic [31:0] exe_alu_out; + stall_flg = + _id_rs2_data_hazard_T & (|(id_reg_inst[25:21])) + & id_reg_inst[25:21] == exe_reg_wb_addr | _id_rs2_data_hazard_T + & (|(id_reg_inst[20:16])) & id_reg_inst[20:16] == exe_reg_wb_addr; + id_inst = _id_inst_T | stall_flg ? 32'h0 : id_reg_inst; + _id_rs2_data_T_2 = mem_reg_rf_wen == 2'h1; + _id_rs2_data_T = id_reg_inst[20:16] == 5'h0; + _id_rs2_data_T_8 = + id_reg_inst[20:16] == mem_reg_wb_addr & _id_rs2_data_T_2 + ? mem_reg_alu_out + : id_reg_inst[20:16] == wb_reg_wb_addr & _id_rs2_data_T_5 + ? wb_reg_wb_data + : _regfile_ext_R0_data; + _GEN = {id_inst[31:26], id_inst[10:0]}; + _csignals_T_5 = _GEN == 17'h20; + _GEN_0 = {id_inst[31:28], id_inst[15:0]}; + _csignals_T_7 = _GEN_0 == 20'h80000; + _csignals_T_9 = _GEN == 17'h22; + _csignals_T_11 = _GEN == 17'h24; + _csignals_T_13 = _GEN == 17'h25; + _csignals_T_15 = _GEN == 17'h26; + _csignals_T_17 = _GEN_0 == 20'hC0000; + _csignals_T_19 = _GEN_0 == 20'hD0000; + _GEN_1 = {id_inst[30:20], id_inst[5:0]}; + _csignals_T_21 = _GEN_1 == 17'h0; + _csignals_T_23 = _GEN_1 == 17'h2; + _csignals_T_25 = _GEN_1 == 17'h3; + _csignals_T_27 = _GEN == 17'h2A; + _csignals_T_29 = _GEN_0 == 20'h40000; + _csignals_T_31 = _GEN_0 == 20'h50000; + _csignals_T_33 = id_inst == 32'hC000000; + _csignals_T_35 = _GEN_0 == 20'h8; + _csignals_T_37 = {id_inst[31:22], id_inst[9:0]} == 20'h78000; + _csignals_T_39 = id_inst == 32'h0; + _GEN_2 = _csignals_T_29 | _csignals_T_31; + _GEN_3 = _csignals_T_21 | _csignals_T_23 | _csignals_T_25 | _csignals_T_27 | _GEN_2; + csignals_1 = + _csignals_T_5 | _csignals_T_7 | _csignals_T_9 | _csignals_T_11 | _csignals_T_13 + | _csignals_T_15 | _csignals_T_17 | _csignals_T_19 | _GEN_3 + ? 2'h0 + : _csignals_T_33 + ? 2'h1 + : _csignals_T_35 ? 2'h0 : {_csignals_T_37 | _csignals_T_39, 1'h0}; + _csignals_T_95 = + _csignals_T_5 + ? 3'h1 + : _csignals_T_7 + ? 3'h2 + : _csignals_T_9 | _csignals_T_11 | _csignals_T_13 | _csignals_T_15 + ? 3'h1 + : _csignals_T_17 | _csignals_T_19 + ? 3'h2 + : _GEN_3 + ? 3'h1 + : _csignals_T_33 + ? 3'h4 + : _csignals_T_35 + ? 3'h0 + : _csignals_T_37 ? 3'h5 : {2'h0, ~_csignals_T_39}; + exe_alu_out = + exe_reg_exe_fun == 5'hE + ? exe_reg_op1_data + : exe_reg_exe_fun == 5'h9 + ? {31'h0, $signed(exe_reg_op1_data) < $signed(exe_reg_op2_data)} + : exe_reg_exe_fun == 5'h8 + ? $signed($signed(exe_reg_op1_data) >>> _GEN_4) + : exe_reg_exe_fun == 5'h7 + ? exe_reg_op1_data >> _GEN_4 + : exe_reg_exe_fun == 5'h6 + ? _exe_alu_out_T_8[31:0] + : exe_reg_exe_fun == 5'h5 + ? exe_reg_op1_data ^ exe_reg_op2_data + : exe_reg_exe_fun == 5'h4 + ? exe_reg_op1_data | exe_reg_op2_data + : exe_reg_exe_fun == 5'h3 + ? exe_reg_op1_data & exe_reg_op2_data + : exe_reg_exe_fun == 5'h2 + ? exe_reg_op1_data - exe_reg_op2_data + : exe_reg_exe_fun == 5'h1 + ? exe_reg_op1_data + exe_reg_op2_data + : 32'h0; + if (~stall_flg) + id_reg_pc <= if_reg_pc; + if (_id_inst_T) + id_reg_inst <= 32'h0; + else if (~stall_flg) + id_reg_inst <= io_imem_inst; + exe_reg_pc <= id_reg_pc; + exe_reg_wb_addr <= id_reg_inst[15:11]; + if (csignals_1 == 2'h0) + exe_reg_op1_data <= + id_reg_inst[25:21] == 5'h0 + ? 32'h0 + : id_reg_inst[25:21] == mem_reg_wb_addr & _id_rs2_data_T_2 + ? mem_reg_alu_out + : id_reg_inst[25:21] == wb_reg_wb_addr & _id_rs2_data_T_5 + ? wb_reg_wb_data + : _regfile_ext_R1_data; + else if (csignals_1 == 2'h1) + exe_reg_op1_data <= id_reg_pc; + else + exe_reg_op1_data <= 32'h0; + if (_csignals_T_95 == 3'h5) + exe_reg_op2_data <= {id_inst[15:0], 16'h0}; + else if (_csignals_T_95 == 3'h4) + exe_reg_op2_data <= {{4{id_inst[25]}}, id_inst[25:0], 2'h0}; + else if (_csignals_T_95 == 3'h3 | _csignals_T_95 == 3'h2) + exe_reg_op2_data <= {{16{id_inst[15]}}, id_inst[15:0]}; + else if (_csignals_T_95 != 3'h1 | _id_rs2_data_T) + exe_reg_op2_data <= 32'h0; + else + exe_reg_op2_data <= _id_rs2_data_T_8; + exe_reg_rs2_data <= _id_rs2_data_T ? 32'h0 : _id_rs2_data_T_8; + if (_csignals_T_5 | _csignals_T_7) + exe_reg_exe_fun <= 5'h1; + else if (_csignals_T_9) + exe_reg_exe_fun <= 5'h2; + else if (_csignals_T_11) + exe_reg_exe_fun <= 5'h3; + else if (_csignals_T_13) + exe_reg_exe_fun <= 5'h4; + else if (_csignals_T_15) + exe_reg_exe_fun <= 5'h5; + else if (_csignals_T_17) + exe_reg_exe_fun <= 5'h3; + else if (_csignals_T_19) + exe_reg_exe_fun <= 5'h4; + else if (_csignals_T_21) + exe_reg_exe_fun <= 5'h6; + else if (_csignals_T_23) + exe_reg_exe_fun <= 5'h7; + else if (_csignals_T_25) + exe_reg_exe_fun <= 5'h8; + else if (_csignals_T_27) + exe_reg_exe_fun <= 5'h9; + else if (_csignals_T_29) + exe_reg_exe_fun <= 5'hB; + else if (_csignals_T_31) + exe_reg_exe_fun <= 5'hC; + else if (_csignals_T_33) + exe_reg_exe_fun <= 5'h1; + else if (_csignals_T_35) + exe_reg_exe_fun <= 5'hE; + else + exe_reg_exe_fun <= {4'h0, _csignals_T_37}; + exe_reg_mem_wen <= 2'h0; + if (_csignals_T_5 | _csignals_T_7 | _csignals_T_9 | _csignals_T_11 | _csignals_T_13 + | _csignals_T_15 | _csignals_T_17 | _csignals_T_19 | _csignals_T_21 + | _csignals_T_23 | _csignals_T_25 | _csignals_T_27) begin + exe_reg_rf_wen <= 2'h1; + exe_reg_wb_sel <= 3'h1; + end + else if (_GEN_2) begin + exe_reg_rf_wen <= 2'h0; + exe_reg_wb_sel <= 3'h0; + end + else if (_csignals_T_33) begin + exe_reg_rf_wen <= 2'h1; + exe_reg_wb_sel <= 3'h3; + end + else if (_csignals_T_35) begin + exe_reg_rf_wen <= 2'h0; + exe_reg_wb_sel <= 3'h0; + end + else begin + exe_reg_rf_wen <= {1'h0, _csignals_T_37}; + exe_reg_wb_sel <= {2'h0, _csignals_T_37}; + end + exe_reg_imm_b_sext <= {{16{id_inst[15]}}, id_inst[15:0]}; + mem_reg_pc <= exe_reg_pc; + mem_reg_wb_addr <= exe_reg_wb_addr; + mem_reg_alu_out <= exe_alu_out; + mem_reg_rs2_data <= exe_reg_rs2_data; + mem_reg_rf_wen <= exe_reg_rf_wen; + mem_reg_wb_sel <= exe_reg_wb_sel; + mem_reg_mem_wen <= exe_reg_mem_wen; + wb_reg_wb_addr <= mem_reg_wb_addr; + wb_reg_rf_wen <= mem_reg_rf_wen; + wb_reg_wb_data <= + mem_reg_wb_sel == 3'h3 + ? mem_reg_pc + 32'h4 + : mem_reg_wb_sel == 3'h2 ? io_dmem_rdata : mem_reg_alu_out; + if (reset) + if_reg_pc <= 32'h0; + else if (exe_br_flg) + if_reg_pc <= exe_reg_pc + exe_reg_imm_b_sext; + else if (exe_jmp_flg) + if_reg_pc <= exe_alu_out; + else if (~stall_flg) + if_reg_pc <= if_reg_pc + 32'h4; + end // always @(posedge) + regfile_32x32 regfile_ext ( + .R0_addr (id_reg_inst[20:16]), + .R0_en (1'h1), + .R0_clk (clock), + .R0_data (_regfile_ext_R0_data), + .R1_addr (id_reg_inst[25:21]), + .R1_en (1'h1), + .R1_clk (clock), + .R1_data (_regfile_ext_R1_data), + .W0_addr (wb_reg_wb_addr), + .W0_en (_id_rs2_data_T_5), + .W0_clk (clock), + .W0_data (wb_reg_wb_data) + ); + assign io_imem_addr = if_reg_pc; + assign io_dmem_addr = mem_reg_alu_out; + assign io_dmem_wen = mem_reg_mem_wen[0]; + assign io_dmem_wdata = mem_reg_rs2_data; + assign io_exit = id_reg_inst == 32'hC0000000; +endmodule + +// VCS coverage exclude_file +module mem_512x32( + input [8:0] R0_addr, + input R0_en, + R0_clk, + output [31:0] R0_data, + input [8:0] R1_addr, + input R1_en, + R1_clk, + output [31:0] R1_data, + input [8:0] W0_addr, + input W0_en, + W0_clk, + input [31:0] W0_data +); + + reg [31:0] Memory[0:511]; + reg _R0_en_d0; + reg [8:0] _R0_addr_d0; + always @(posedge R0_clk) begin + _R0_en_d0 <= R0_en; + _R0_addr_d0 <= R0_addr; + end // always @(posedge) + reg _R1_en_d0; + reg [8:0] _R1_addr_d0; + always @(posedge R1_clk) begin + _R1_en_d0 <= R1_en; + _R1_addr_d0 <= R1_addr; + end // always @(posedge) + always @(posedge W0_clk) begin + if (W0_en & 1'h1) + Memory[W0_addr] <= W0_data; + end // always @(posedge) + `ifdef ENABLE_INITIAL_MEM_ + initial + $readmemh("src/hex/mem.hex", Memory); + `endif // ENABLE_INITIAL_MEM_ + assign R0_data = _R0_en_d0 ? Memory[_R0_addr_d0] : 32'bx; + assign R1_data = _R1_en_d0 ? Memory[_R1_addr_d0] : 32'bx; +endmodule + +module Memory( + input clock, + input [31:0] io_imem_addr, + output [31:0] io_imem_inst, + input [31:0] io_dmem_addr, + output [31:0] io_dmem_rdata, + input io_dmem_wen, + input [31:0] io_dmem_wdata +); + + mem_512x32 mem_ext ( + .R0_addr (io_imem_addr[10:2]), + .R0_en (1'h1), + .R0_clk (clock), + .R0_data (io_imem_inst), + .R1_addr (io_dmem_addr[10:2]), + .R1_en (1'h1), + .R1_clk (clock), + .R1_data (io_dmem_rdata), + .W0_addr (io_dmem_addr[10:2]), + .W0_en (io_dmem_wen), + .W0_clk (clock), + .W0_data (io_dmem_wdata) + ); +endmodule + +module TopOrigin( + input clock, + reset, + output io_exit +); + + wire [31:0] _memory_io_imem_inst; + wire [31:0] _memory_io_dmem_rdata; + wire [31:0] _core_io_imem_addr; + wire [31:0] _core_io_dmem_addr; + wire _core_io_dmem_wen; + wire [31:0] _core_io_dmem_wdata; + Core core ( + .clock (clock), + .reset (reset), + .io_imem_addr (_core_io_imem_addr), + .io_imem_inst (_memory_io_imem_inst), + .io_dmem_addr (_core_io_dmem_addr), + .io_dmem_rdata (_memory_io_dmem_rdata), + .io_dmem_wen (_core_io_dmem_wen), + .io_dmem_wdata (_core_io_dmem_wdata), + .io_exit (io_exit) + ); + Memory memory ( + .clock (clock), + .io_imem_addr (_core_io_imem_addr), + .io_imem_inst (_memory_io_imem_inst), + .io_dmem_addr (_core_io_dmem_addr), + .io_dmem_rdata (_memory_io_dmem_rdata), + .io_dmem_wen (_core_io_dmem_wen), + .io_dmem_wdata (_core_io_dmem_wdata) + ); +endmodule + diff --git a/project/project/project/project/target/config-classes/$57f93b93490ea1894b63.cache b/project/project/project/project/target/config-classes/$57f93b93490ea1894b63.cache new file mode 100755 index 0000000..050f36c --- /dev/null +++ b/project/project/project/project/target/config-classes/$57f93b93490ea1894b63.cache @@ -0,0 +1 @@ +sbt.internal.DslEntry \ No newline at end of file diff --git a/project/project/project/target/config-classes/$534d41cbf8671b3d9a73.cache b/project/project/project/target/config-classes/$534d41cbf8671b3d9a73.cache new file mode 100755 index 0000000..050f36c --- /dev/null +++ b/project/project/project/target/config-classes/$534d41cbf8671b3d9a73.cache @@ -0,0 +1 @@ +sbt.internal.DslEntry \ No newline at end of file diff --git a/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp b/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp index 6c5ef9b..1e3ad44 100755 --- a/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp +++ b/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp @@ -1 +1 @@ -{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/home/gh0s7/nfs/project/micore/project/project/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"},"{\"organization\":\"ch.epfl.scala\",\"name\":\"sbt-bloop\",\"revision\":\"2.0.6\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{\"e:sbtVersion\":\"1.0\",\"e:scalaVersion\":\"2.12\"},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/home/gh0s7/nfs/project/micore/project/project/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"}} \ No newline at end of file +{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"},"{\"organization\":\"ch.epfl.scala\",\"name\":\"sbt-bloop\",\"revision\":\"2.0.6\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{\"e:sbtVersion\":\"1.0\",\"e:scalaVersion\":\"2.12\"},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"}} \ No newline at end of file diff --git a/project/project/project/target/streams/compile/_global/_global/compileOutputs/previous b/project/project/project/target/streams/compile/_global/_global/compileOutputs/previous index 0be10d1..d3be71e 100755 --- a/project/project/project/target/streams/compile/_global/_global/compileOutputs/previous +++ b/project/project/project/target/streams/compile/_global/_global/compileOutputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/gh0s7/nfs/project/micore/project/project/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/project/project/project/target/streams/compile/exportedProducts/_global/streams/export b/project/project/project/target/streams/compile/exportedProducts/_global/streams/export index ddad066..8d01fa8 100755 --- a/project/project/project/target/streams/compile/exportedProducts/_global/streams/export +++ b/project/project/project/target/streams/compile/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/project/project/target/scala-2.12/sbt-1.0/classes +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/project/target/streams/compile/incOptions/_global/streams/out b/project/project/project/target/streams/compile/incOptions/_global/streams/out index e050d1c..7231079 100755 --- a/project/project/project/target/streams/compile/incOptions/_global/streams/out +++ b/project/project/project/target/streams/compile/incOptions/_global/streams/out @@ -1,5 +1,5 @@ -[debug] Created transactional ClassFileManager with tempDir = /home/gh0s7/nfs/project/micore/project/project/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes.bak [debug] About to delete class files: [debug] We backup class files: -[debug] Created transactional ClassFileManager with tempDir = /home/gh0s7/nfs/project/micore/project/project/project/target/scala-2.12/sbt-1.0/classes.bak -[debug] Removing the temporary directory used for backing up class files: /home/gh0s7/nfs/project/micore/project/project/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Removing the temporary directory used for backing up class files: /run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes.bak diff --git a/project/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export b/project/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export index c9f71f1..aef0f5a 100755 --- a/project/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export +++ b/project/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/runtime/exportedProducts/_global/streams/export b/project/project/project/target/streams/runtime/exportedProducts/_global/streams/export index ddad066..8d01fa8 100755 --- a/project/project/project/target/streams/runtime/exportedProducts/_global/streams/export +++ b/project/project/project/target/streams/runtime/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/project/project/target/scala-2.12/sbt-1.0/classes +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/project/target/streams/runtime/fullClasspath/_global/streams/export b/project/project/project/target/streams/runtime/fullClasspath/_global/streams/export index c9f71f1..aef0f5a 100755 --- a/project/project/project/target/streams/runtime/fullClasspath/_global/streams/export +++ b/project/project/project/target/streams/runtime/fullClasspath/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export b/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export index ddad066..8d01fa8 100755 --- a/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export +++ b/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/project/project/target/scala-2.12/sbt-1.0/classes +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/target/config-classes/$c1a540d1f89b99cfe151.cache b/project/project/target/config-classes/$c1a540d1f89b99cfe151.cache new file mode 100755 index 0000000..050f36c --- /dev/null +++ b/project/project/target/config-classes/$c1a540d1f89b99cfe151.cache @@ -0,0 +1 @@ +sbt.internal.DslEntry \ No newline at end of file diff --git a/project/project/target/config-classes/$ce6b00de31b68d292230.cache b/project/project/target/config-classes/$ce6b00de31b68d292230.cache new file mode 100755 index 0000000..050f36c --- /dev/null +++ b/project/project/target/config-classes/$ce6b00de31b68d292230.cache @@ -0,0 +1 @@ +sbt.internal.DslEntry \ No newline at end of file diff --git a/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp b/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp index 44ce294..07ec83b 100755 --- a/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp +++ b/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp @@ -1 +1 @@ -{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/home/gh0s7/nfs/project/micore/project/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"},"{\"organization\":\"ch.epfl.scala\",\"name\":\"sbt-bloop\",\"revision\":\"2.0.6\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{\"e:sbtVersion\":\"1.0\",\"e:scalaVersion\":\"2.12\"},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/home/gh0s7/nfs/project/micore/project/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"}} \ No newline at end of file +{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/run/media/gh0s7/Data/project/ddca2024/micore/project/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"},"{\"organization\":\"ch.epfl.scala\",\"name\":\"sbt-bloop\",\"revision\":\"2.0.6\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{\"e:sbtVersion\":\"1.0\",\"e:scalaVersion\":\"2.12\"},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/run/media/gh0s7/Data/project/ddca2024/micore/project/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"}} \ No newline at end of file diff --git a/project/project/target/streams/compile/_global/_global/compileOutputs/previous b/project/project/target/streams/compile/_global/_global/compileOutputs/previous index f4b289d..29633ad 100755 --- a/project/project/target/streams/compile/_global/_global/compileOutputs/previous +++ b/project/project/target/streams/compile/_global/_global/compileOutputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/gh0s7/nfs/project/micore/project/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/project/project/target/streams/compile/bloopGenerate/_global/streams/out b/project/project/target/streams/compile/bloopGenerate/_global/streams/out index a178d26..c6e9ce7 100755 --- a/project/project/target/streams/compile/bloopGenerate/_global/streams/out +++ b/project/project/target/streams/compile/bloopGenerate/_global/streams/out @@ -1,4 +1,4 @@ [debug] [micore-build-build] Classpath dependencies List() [debug] [micore-build-build] Dependencies from configurations List() -[debug] Bloop wrote the configuration of project 'micore-build-build' to '/home/gh0s7/nfs/project/micore/project/project/.bloop/micore-build-build.json' +[debug] Bloop wrote the configuration of project 'micore-build-build' to '/run/media/gh0s7/Data/project/ddca2024/micore/project/project/.bloop/micore-build-build.json' [success] Generated .bloop/micore-build-build.json diff --git a/project/project/target/streams/compile/exportedProducts/_global/streams/export b/project/project/target/streams/compile/exportedProducts/_global/streams/export index ebfe31c..454272b 100755 --- a/project/project/target/streams/compile/exportedProducts/_global/streams/export +++ b/project/project/target/streams/compile/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/project/target/scala-2.12/sbt-1.0/classes +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/target/streams/compile/incOptions/_global/streams/out b/project/project/target/streams/compile/incOptions/_global/streams/out index d6cce43..66abc73 100755 --- a/project/project/target/streams/compile/incOptions/_global/streams/out +++ b/project/project/target/streams/compile/incOptions/_global/streams/out @@ -1,5 +1,5 @@ -[debug] Created transactional ClassFileManager with tempDir = /home/gh0s7/nfs/project/micore/project/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes.bak [debug] About to delete class files: [debug] We backup class files: -[debug] Created transactional ClassFileManager with tempDir = /home/gh0s7/nfs/project/micore/project/project/target/scala-2.12/sbt-1.0/classes.bak -[debug] Removing the temporary directory used for backing up class files: /home/gh0s7/nfs/project/micore/project/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Removing the temporary directory used for backing up class files: /run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes.bak diff --git a/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export b/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export index 9fcd8a5..bde13f8 100755 --- a/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export +++ b/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar diff --git a/project/project/target/streams/runtime/exportedProducts/_global/streams/export b/project/project/target/streams/runtime/exportedProducts/_global/streams/export index ebfe31c..454272b 100755 --- a/project/project/target/streams/runtime/exportedProducts/_global/streams/export +++ b/project/project/target/streams/runtime/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/project/target/scala-2.12/sbt-1.0/classes +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/target/streams/runtime/fullClasspath/_global/streams/export b/project/project/target/streams/runtime/fullClasspath/_global/streams/export index 9fcd8a5..bde13f8 100755 --- a/project/project/target/streams/runtime/fullClasspath/_global/streams/export +++ b/project/project/target/streams/runtime/fullClasspath/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar diff --git a/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export b/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export index ebfe31c..454272b 100755 --- a/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export +++ b/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/project/target/scala-2.12/sbt-1.0/classes +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/config-classes/$0dd3447347b5224615e5.cache b/project/target/config-classes/$0dd3447347b5224615e5.cache new file mode 100755 index 0000000..050f36c --- /dev/null +++ b/project/target/config-classes/$0dd3447347b5224615e5.cache @@ -0,0 +1 @@ +sbt.internal.DslEntry \ No newline at end of file diff --git a/project/target/config-classes/$6becda8e2cd53152091b.cache b/project/target/config-classes/$6becda8e2cd53152091b.cache new file mode 100755 index 0000000..d8649da --- /dev/null +++ b/project/target/config-classes/$6becda8e2cd53152091b.cache @@ -0,0 +1 @@ +root diff --git a/project/target/config-classes/$afff5d25069ab86e2b89.cache b/project/target/config-classes/$afff5d25069ab86e2b89.cache new file mode 100755 index 0000000..050f36c --- /dev/null +++ b/project/target/config-classes/$afff5d25069ab86e2b89.cache @@ -0,0 +1 @@ +sbt.internal.DslEntry \ No newline at end of file diff --git a/project/target/config-classes/$cc949d4e621f82777efc.cache b/project/target/config-classes/$cc949d4e621f82777efc.cache new file mode 100755 index 0000000..050f36c --- /dev/null +++ b/project/target/config-classes/$cc949d4e621f82777efc.cache @@ -0,0 +1 @@ +sbt.internal.DslEntry \ No newline at end of file diff --git a/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp b/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp index cbf7781..00763b6 100755 --- a/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp +++ b/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp @@ -1 +1 @@ -{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/home/gh0s7/nfs/project/micore/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"},"{\"organization\":\"ch.epfl.scala\",\"name\":\"sbt-bloop\",\"revision\":\"2.0.6\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{\"e:sbtVersion\":\"1.0\",\"e:scalaVersion\":\"2.12\"},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/home/gh0s7/nfs/project/micore/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"}} \ No newline at end of file +{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/run/media/gh0s7/Data/project/ddca2024/micore/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"},"{\"organization\":\"ch.epfl.scala\",\"name\":\"sbt-bloop\",\"revision\":\"2.0.6\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{\"e:sbtVersion\":\"1.0\",\"e:scalaVersion\":\"2.12\"},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/run/media/gh0s7/Data/project/ddca2024/micore/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"}} \ No newline at end of file diff --git a/project/target/streams/compile/_global/_global/compileOutputs/previous b/project/target/streams/compile/_global/_global/compileOutputs/previous index 7c8379a..3f53060 100755 --- a/project/target/streams/compile/_global/_global/compileOutputs/previous +++ b/project/target/streams/compile/_global/_global/compileOutputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/gh0s7/nfs/project/micore/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/project/target/streams/compile/bloopGenerate/_global/streams/out b/project/target/streams/compile/bloopGenerate/_global/streams/out index 75e1bc0..0816633 100755 --- a/project/target/streams/compile/bloopGenerate/_global/streams/out +++ b/project/target/streams/compile/bloopGenerate/_global/streams/out @@ -1,4 +1,4 @@ [debug] [micore-build] Classpath dependencies List() [debug] [micore-build] Dependencies from configurations List() -[debug] Bloop wrote the configuration of project 'micore-build' to '/home/gh0s7/nfs/project/micore/project/.bloop/micore-build.json' +[debug] Bloop wrote the configuration of project 'micore-build' to '/run/media/gh0s7/Data/project/ddca2024/micore/project/.bloop/micore-build.json' [success] Generated .bloop/micore-build.json diff --git a/project/target/streams/compile/exportedProducts/_global/streams/export b/project/target/streams/compile/exportedProducts/_global/streams/export index 98fdd50..4006493 100755 --- a/project/target/streams/compile/exportedProducts/_global/streams/export +++ b/project/target/streams/compile/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/target/scala-2.12/sbt-1.0/classes +/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/compile/incOptions/_global/streams/out b/project/target/streams/compile/incOptions/_global/streams/out index b45e303..44649e0 100755 --- a/project/target/streams/compile/incOptions/_global/streams/out +++ b/project/target/streams/compile/incOptions/_global/streams/out @@ -1,5 +1,5 @@ -[debug] Created transactional ClassFileManager with tempDir = /home/gh0s7/nfs/project/micore/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes.bak [debug] About to delete class files: [debug] We backup class files: -[debug] Created transactional ClassFileManager with tempDir = /home/gh0s7/nfs/project/micore/project/target/scala-2.12/sbt-1.0/classes.bak -[debug] Removing the temporary directory used for backing up class files: /home/gh0s7/nfs/project/micore/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Removing the temporary directory used for backing up class files: /run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes.bak diff --git a/project/target/streams/runtime/dependencyClasspath/_global/streams/export b/project/target/streams/runtime/dependencyClasspath/_global/streams/export index 8839bfe..b0b5605 100755 --- a/project/target/streams/runtime/dependencyClasspath/_global/streams/export +++ b/project/target/streams/runtime/dependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar +/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar diff --git a/project/target/streams/runtime/exportedProducts/_global/streams/export b/project/target/streams/runtime/exportedProducts/_global/streams/export index 98fdd50..4006493 100755 --- a/project/target/streams/runtime/exportedProducts/_global/streams/export +++ b/project/target/streams/runtime/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/target/scala-2.12/sbt-1.0/classes +/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/runtime/fullClasspath/_global/streams/export b/project/target/streams/runtime/fullClasspath/_global/streams/export index 8839bfe..b0b5605 100755 --- a/project/target/streams/runtime/fullClasspath/_global/streams/export +++ b/project/target/streams/runtime/fullClasspath/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar +/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar diff --git a/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export b/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export index 98fdd50..4006493 100755 --- a/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export +++ b/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/project/target/scala-2.12/sbt-1.0/classes +/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes diff --git a/src/main/scala/common/Instructions.scala b/src/main/scala/common/Instructions.scala index e235e0b..b9e6521 100755 --- a/src/main/scala/common/Instructions.scala +++ b/src/main/scala/common/Instructions.scala @@ -44,4 +44,6 @@ object Instructions { // * 立即数加载 val LUI = BitPat("b00111100000????????????0000000000") // lui rt, immediate + + val NOP = BitPat("b000000000000000000000000000000000") // nop } diff --git a/src/main/scala/micore/Core.scala b/src/main/scala/micore/Core.scala index d4d4799..f97a348 100755 --- a/src/main/scala/micore/Core.scala +++ b/src/main/scala/micore/Core.scala @@ -55,8 +55,8 @@ class Core extends Module { io.imem.addr := if_reg_pc val if_inst = io.imem.inst - val stall_flg = Wire(Bool()) - val exe_br_flg = Wire(Bool()) + val stall_flg = Wire(Bool()) + val exe_br_flg = Wire(Bool()) val exe_br_target = Wire(UInt(WORD_LEN.W)) val exe_jmp_flg = Wire(Bool()) val exe_alu_out = Wire(UInt(WORD_LEN.W)) @@ -94,7 +94,8 @@ class Core extends Module { (exe_reg.rf_wen === REN_S) && (id_rs2_addr =/= 0.U) && (id_rs2_addr === exe_reg.wb_addr) stall_flg := (id_rs1_data_hazard || id_rs2_data_hazard) - val id_inst = Mux((exe_br_flg || exe_jmp_flg || stall_flg), BUBBLE, id_reg.inst) + val id_inst = + Mux((exe_br_flg || exe_jmp_flg || stall_flg), BUBBLE, id_reg.inst) val id_rs1_data = Mux( id_rs1_addr === 0.U, @@ -150,11 +151,13 @@ class Core extends Module { BNE -> List(BR_BNE, OP1_RS1, OP2_RS2, MEN_X, REN_X, WB_X), JAL -> List(ALU_ADD, OP1_PC, OP2_IMJ, MEN_X, REN_S, WB_PC), JR -> List(ALU_COPY1, OP1_RS1, OP2_X, MEN_X, REN_X, WB_X), - LUI -> List(ALU_ADD, OP1_X, OP2_IMU, MEN_X, REN_S, WB_ALU) + LUI -> List(ALU_ADD, OP1_X, OP2_IMU, MEN_X, REN_S, WB_ALU), + NOP -> List(ALU_X, OP1_X, OP2_X, MEN_X, REN_X, WB_X) ) ) - val id_exe_fun :: id_op1_sel :: id_op2_sel :: id_mem_wen :: id_rf_wen :: id_wb_sel :: Nil = csignals + val id_exe_fun :: id_op1_sel :: id_op2_sel :: id_mem_wen :: id_rf_wen :: id_wb_sel :: Nil = + csignals val id_op1_data = Mux( id_op1_sel === OP1_RS1, @@ -195,7 +198,7 @@ class Core extends Module { ALU_ADD -> (exe_reg.op1_data + exe_reg.op2_data), ALU_SUB -> (exe_reg.op1_data - exe_reg.op2_data), ALU_AND -> (exe_reg.op1_data & exe_reg.op2_data), - ALU_OR -> (exe_reg.op1_data | exe_reg.op2_data), + ALU_OR -> (exe_reg.op1_data | exe_reg.op2_data), ALU_XOR -> (exe_reg.op1_data ^ exe_reg.op2_data), ALU_SLL -> (exe_reg.op1_data << exe_reg.op2_data(4, 0))(31, 0), ALU_SRL -> (exe_reg.op1_data >> exe_reg.op2_data(4, 0)).asUInt, diff --git a/src/main/scala/micore/Top.scala b/src/main/scala/micore/Top.scala index 39d092e..941bdc8 100755 --- a/src/main/scala/micore/Top.scala +++ b/src/main/scala/micore/Top.scala @@ -5,7 +5,7 @@ import chisel3._ import _root_.circt.stage.ChiselStage import common.Consts._ -class Top extends Module { +class TopOrigin extends Module { val io = IO(new Bundle { val exit = Output(Bool()) }) @@ -20,11 +20,11 @@ class Top extends Module { /** Generate Verilog sources and save it in file */ -object Top extends App { +object TopOrigin extends App { ChiselStage.emitSystemVerilogFile( - new Top, - firtoolOpts = Array( - "--disable-all-randomization", + new TopOrigin, + firtoolOpts = Array( + "--disable-all-randomization", "--strip-debug-info", "--O=release", "--mlir-timing" diff --git a/target/scala-2.13/-name-_2.13-0.1.0.jar b/target/scala-2.13/-name-_2.13-0.1.0.jar old mode 100644 new mode 100755 index 7978f8a255a2d5e6a957ee66e29d87428b4f9b94..c5de2f01d9ae07ebd66cd0c69033de0ceb21b804 GIT binary patch literal 66919 zcmb4q1CS<7mu}m(ZQHhOzir#Lr)_)Mc2C>Jv~Andn%m#M|J{wav3Dc3sv@KEJW!Ga1w#XZf`S490wU)7F8=dE0|En*7grOemsXHqlowWzmJnA}W005lng9a& z@uLA|kO&RPqd&mWNo`??K1BtuXig^FKIvBq&Q&1c-_J#Di+#(Rf1b^_T;nMH!DhEvi3Zh^)+xNSxhpzRmwi(0jvsE!kLkdSQ z(eSB_rTOipxP*;{8W+u?D$lQ(=eVmYT~se!Zy)zXkC&P&tIJE-$5s!s;BX^|A{JrE z`rtySWKtiSi_Oh8dem^@SgcpNH zyNflwmF?~h+6LOXhMyMi*SVQYC;;d4Ik_?6Ia59n^WW#^%OhEQU{y}Lr)i|8c2(I` zoE*#5B7NSWmuW5N(>9zMYMPv+v6hbr*l6BF0Jm?dT zG-$8XA4Vp!1{X&vanP5Q<|7ltb=9j@Y^g-X^t#KWu5(wd?WslPEN$e`MPfNDBQ)!x zszeLuZ<3GG+Se-`Dqq@kK58HWs!}0-jo?D8>(uE)+duP9Pl(#Vin!|@jihBsoK0C) zk>xLIbTkwt#?{w2o2bg8id5IAT-GcvmXTzyQzd0_tSye0B^B7=Ug((Vu0=EXs#@&C zJ?DDmZsq!Ug+Z+b7BHTjlK5bQ%ra_46wo7=0oxe4aWHXB1u`>nz+yFHF(KfsTeBA+ zXIWO&?7Y-AburFwY;Cg#Sgc&vH zVc3dc<*saR{q8pN@G!VHo~9M4zlVh|sE24Cq~M7}Pz{;Ju-ykjD*j76&@60NIP#32 z&C$k5{Ycs(Af5Q==I@AoMn%0=7DT*GMX)ywoppD`Y#cB_e1*?(wvkRFS! z-1_F+`s`9R1-Bl*vvE&r6<|I01IcEmqsazQM8RLU_%)fU*WJTI($GTD1JD7?yT^qK z{3)z`` z&swodB%%W98OToDjlH5S{Tx>g-oBYQWKp&B%_7gc4&it3dD^m!%3qO62Tu0(!EmgK zpVCi^sW`$BXCbRqVDFkLS6MilCLt2%XDXk#rRD{q27i-D{!%2r3RC-!E$+5)m?m*4 zMiVOU!_5ICkh%>j^ApY~<>B)hg(;ulD*PoX+~xqs1%vjsWKXavpG6h@`L<&uPjVGs zF)F$RgWr-&i+pCO_1atCa^f~h`$ek#6|Ha)j`-+5hf(PzUAoD_`DHQ4q;ewr6d}_M z;!1_}>%>O-)1l&PadDRw7fuCJ>M32O8`Cu-ECE%mE$IW7Sdxid3^zR4H!Z;=JcSf~ z^AgyQ)amaeEen%ubdx-M#2QoZP*bUAC>kruEOfNyya$p?iDyd1o+Yjv%537klS+>8 zenyOk(q&qHksiXhtr@w2Rvgg- zzhvR$*@uXpvs9$Dg`$geTh?dwNKiSzc*a%ib#TN|K9N+UafWs8lhLJ73U8vFHU}ac zeJTcL^eB6zk6kmcv;Ue3hhEh0xV)ao;0Y)gOe)ZT8)R4Usj1jgw9AlMCs*+imCF@m zGOE}_cakkg2H~N**vZXcRPneE4{XcE*#-=fo!e(#Dr_R1rUW8PhUhfV-n$3ZR_yU} z$WdH5SD?3n@(>&K!F13f4lPoJAfQ8)?ch%4d3{nyy~wGm!bT916VZe(%o zyXieXD1$rs`9|d(QFV~hE<Y#(|80nb|u^C<24EU)MX2S9jIyRlERHnT( z-DEg6SxvGEY1s_+sS;trf^YDT6qSudtvGmAX&uH=6XWxoAOv{OT2t>hqARe9TuW=) zB>0GgR$*%wlk=1ygb0Y{R1scLYl|!#-l1^c1p=CuCgbziAWx_Y$qX`!yqc{?x`nmv zB`+0Y7dwk08y9&82So>p?Dz!EzRa$$UokH4Kfy3g($1=(0Ip+;twy=PHd;;`w5H@x z*nS&49;GWsB)O?1Q&Oajl8JWeM3%d-LeW{-Q2aZ3QKuxq*TGq-pCcjDc!#4caOkujH|o1cOfjS+w;{oBUg9xtU{+;KhEhn<6J++>A5f--tTvnTtC6-IhNeq zeDhP1+)t+NxdoA2{fvL4_0KW4)U!wzGbKCQV|o=KO4g4vde@%#>QHny!{`du4`U+j z704Wo_0Ckb7vgxA=J=-B^>J~;muak!$SGE!*HKTvW6ji(9z8*=hBGx9uB(aH;Kb(@HjKk)!XC(=3Xtn(Wkr1M*n9oySOX)~w~ENhHN6r5!+ z$f8b?7+twnoU3NeGq19$CLZfbLM|h@^}N|zGuH^xq$BXhGX4BzBiAhej3eF<=s_-# z=O|oWm?J@+E<%9vDjc&b7U+%b1bqD}C|eq$dC0iGef|3(0-vq*r3c3pK|3f*J{G4@ zG#{XsbJc|93tH7gah)k*AMyn003umY_7YpwWOkj&!x7I6_5i}iV5Hl~`cfSCIP9@a z=8=-HVAW9WN4M>uZ!~TSa4hbnI`(r`MZ=0672YQP(c3;m@~qk=VcE!bb0L-K3l1*z zwH@|LTt$P2U1Y=%drUi`>1IUPh(Kc@7hP@ezCfbWUk>)?3V3qBW((R&SnT`4;%b1c z7Sa7~NRxw8h#x&@FEcv=2OS<41I;W3Cl@AwZ*b9bDtw_IP<85j*aW}qFD9KKx1e|CeNPOd^>C3 zIQYrnF4`tFr;yonr?9e-7+Wm?uDE+;r;zlqcJQ(h%=LN_y4tXPjPds2vXM!?4ZS_J(Tu+Dr+`X{VNl%9I6KwcIEhZjNber68KiuA5^#+Dr!V-sGLS& zm(5$dqhq%Sst4J2<+z@H<*xFZySiqp-+gj977<~JhvYVQ^;v8qD(>uYaUiaXM{&dhZba+I)JW@z1<{*j zXf4Xx3qf35Y2gCWZ+m&EP`%!5qFUr(_g+>DHgKEP^L+x5zv4}`<9v2)_NcMBxgyi` zvt0D>p!BZlT=%$=xh(38$lL11k6Kr_*G}E$8rRO;h@JYor?2>mr z(3U*I8umap>(vUoqsTLhIRiUZK^O=d=9bvVfV^Cqck7JlpM+*WnzQ0PbD`JHefCS7 z%i$@ft;3P%?3XGRqW4Uf{;S)9SH9!+4L70Vo`>{F@xY&q6W`4+L9*Ogm=l-02QSR8 z9M>MYoI1}LP5oD&g+FDEJxAO-?t39652Sk^t1kZg1DMh$0ih4HvwvD*Ha(1EbIPeL z5Y8D86uss5T72uS_E$?*khkx8Jc?pelef4G`)`hwfEkb<>nGxw|JbeA^*UPe0>0-r|I%}~Z|piBf7MHE?aO&9{^t-BI>P1Mr8Z|nJ+3u6P6s{ zH*vappKB}5{VGC6|4dlsrTLhJhcNIv>6G!`;5A>esl5jPgF~>FFE831KhjCM5OXgo z#yw6zMe}4A)ufgQ0b|r}eY`S_5eJ!@F}F zs0rtAS4k6yr&suwDcV+jnDKfb69EVH5JXr8g#$Oip(&wC84=~qwJ1x_8hmE`PeO_+ zLi4QnOspX8$-$g66Aap^Olsz}!VGET3|PLYKLX}a13CF9taNCsYl4h+piG!v8L)m+ zEMXmveVGlAIhn|X3Dn35vixJ@0;XZXdPyKGENDr%%tkBT2{yh`rNMg1&V83kQ;Sej zH3Y{PgsL@6Z6!m8p~hw6MhM;+ynb>u1DlyBtTbq>{2(s95gp(ATiuELBDsHstcV^YH3)l7t?L$Qd%Xktb^_e_89m~GIH1*w@)MVOlg zn9Hf*MtrAuqWZODriPTJE}>$N0CI#)27sxQ4C%rQZsd$Rf{{Ds2-?_W6jp9DR!)%Q z6F}K}1}VC^x6D+E($p`gDLzEjl~KGJCKpv>XHn*d!5a>&7bbCmW2`W08K<^P0vPI8 zt~7FjlFbNPm4y40(Im`h>gc{j?+hHmCT)PVim`d9@jh|}JArvi{8|xHm8!9MxN$V; z%#;q|?|qY2YB||x)?`8$>L{+XV*W8@fkQ2DZknS8v`}LVF{4(mj2eM5SwL&q&=kxt zU2NZ@cgBIQT-yL%ItnW1Cj{OeEio5T`c5ga$jiV&O2PRsm#TP68H}R1dq%XR8t|;;njR-D#%WBirxv7zGEI}hfyh` zjbv!5*g;hjTRgBZZjVno*>U=U*e5cl69&}`;8kOYSz>f71AL8P~&g zQQ8F26;bU#0*_3DuNe<$$02B#LS|GY9Q#^5k3@#A34~N*nAGv5G-ypMc!Mlx;ZB4u zK|@S=D606;6)}CWw@ib6Q}Jl#o-%UsRAmAntTThLPt2IqQ>!T%>q>H>VCGp7ndc@9 z8W|PT%(SA+5fVnno*8Qb$0}i&xhSffSSH+RDJ4u!1uZ2&2QN*2A4FZ|f*Wmw%LJx^ z{A2c9WB%?5TM}C@z3xqP5z8esenAWIo3jY3l3kY!fgzWgFN1kOjacL5&1ZjOr(5xK z0NCODOJ==M1LnF2=xo&5QAzTfv(HEMf4Rp9aKOIokocyYExpXKUTxF)ali@8xz(`Q zhwcOjyneMF&1Fq9T^=#`@W9x7ch(6rVqF+G)k->8 ze^6awO%~F$AXVpKxK|&|MK3d59x%LHpnX@A=ChN~Y1cwOZSzyNsp6aPbYO8u{I<<* zB%MaJ5zyzuoPOh)k2+hT+hM(^r+?B$UuYqqAN@l;xl0qha2RZN=(>EMy7rtbB9Vs;0IFdl~Y&=rRHO2Du)Y+v@6OBm` z?MKxYV1eNqb}qkUf#V~gcdR{{J3m&sl}nG00y)t^Pym>qpzf{uchHXvd_U0DUy?pmTX2+mjy?xJTL1-1>!*eeNV;)c(x3lxq0K zITqs1uyhd^RuRrC9li!=ZGFz=w{DS6amO=_cskqo6Z|7b!^)f5X`*4xqOmS)9^Z31 zaI19Pz`z)@KhugwGbPWzC)J;8!rffLm$GqkU#h&x{SEG^u)w2kccG8KD2{Mebn`Mp z^Qb*i+H*XomV~+f7S!yraI;Cjhb5YK*0~(WEApa)I0?56Pv?eUqI>nIs;J#*vM}kG zxc0=-zTs$2=a16$5`#n3K87wrssy6buM3in((fO2F51!7@o`IKUvZ?=@PssqJ5B#R z#|eZJ-5W=^#qDNkqUsx>csJi>V3f(i()Ys62>l-N=&m8>ayZ|}gCEBXEqFRlgcE-2 zM;pcMvh)$>6A`aI=+pY<+>ar^g`4SmJ*?4PQ_kfoev!SJ^P5L$qJkVq6Mln7UB&H_ z^bs=K5nR2L63$h05&mgJDen!Fic$L-+6e0Nh)({+9ZPAVy;evQpA$zvC7r71BVZoB z;q#j~lZAw0PzNm2M;78vHgplzpZ_B3OcoldLLKQa2y#a2+Hf~}8%4e=vw4&r%`q~E zI*)dJ1XEqhOcp*tiEhRhb+~==-bb34cKH)u-0s$Qx^kFeFHmqw&vC)v&#VsL=nqw6 zI6_~>V!!r*;$MMsLgjQ!mu?6vMD`}$hD$9BiJbtgodBHv260{VOcYG(?(0kvuZ;%?uUhh9Gk;%?Sw54Rctc{vT-#cPz0RS$X)A9Oc53Hs~? z%**tJg%#jSXC#POZwS5W0Dh?mxef>7?%qd$UQY>m`4Q;HWb~KEND!qyA7(Y^01)s` zX%YbYU7Fp1U&ezzTL8aT1#yf9)_`9EfHz=Pi$R}<0{PjEX5m&{K;8L_(jhL%fxAeI zRv<3R0=Mw$Il(TALEU$65Cu7mUcfGU0{xu(wsGsf;U{3151`NDdD8(P(~hV<2-jwH zyL19LE*;>AO}+iHm;A3eeqphszh(tOI9EsO^FN`V8-DIuJ!9bL4Kn*;<@EdiSh+L* zmBZPf{DGG?%V@mzA;*!KKO1;6toUbKYy77%c7Eg!UkdjJ6}}M7-6&t^yipq`*7$=x zUxw|;_>oUvP_k8Fu6X|7**lXv^z9IbI|1KV%GX(-RrN?miqf4#=U1r;tC9~6m1}V7 zkdTxwVHpx)vxLNjIjM2=5;S_1H$>{F$dm+8nG51ll0=j&sd1%}6dILUd}=`aV;u0A zIJk;67IklIikhg*G_hGng0q>_jX{FJE)o9@5kDC*KY*COjCh(jfr3QJL$gGeZNV49 zN+s0wr(_lb(R57$g{4#zZwWPtN**}1c1X&ZunZ>gkx&8(wUpwSRQ#LNY@HNhzm(#f zRQ#UQY?>5exs*0oi5i#+0Vp+g@S|z)qi4vYZRlfQ*yB0O*(c_LEPjcHs1&Pr$tXw( zH^+h)zSVLJo1299jF7jMa29Z+wViSh9gK&#a4yw0DtK!VgZiR&G>w$J1vzLK2K) zp$US(vVcPljUY|3r=Z3Y>44v`q}f`-l+frx#Lb|)GFBC`IPBJ&t$vruXMevuc46vw zpNcbfdT#~%7MxphJ3gHvvoZ5^sea+}xsmjNNc+tH`}tr9bW8F9-tYKu`t?~nqjF#V z`{Lyz5Gi)shR>D`pM&RJR@di6XS#<_3yg)B;W6vSAVe^x^RrCNE&IDH`A5a# z_6^(HD58!HRC{-_e^o^I*QCql1nH7*Lrp#Jd!<)LO}&1=s-6iLhd{H1ff<*fn9%Q> zzoC*z#s;Voab|8J@g4t$sn9ua%+iCiFki931{f0UHZalHn@#nFRMIJ?AbKWj zXC@=udVd=W9Mg=4;Nz@pU6&wcv24Y#&A5;0e!|fLT#MwOc>!ud#x|f~OT<1sPI^yM)iY zgl9O-s9QmI83GHl>BY#)*HV`=k;z8i=82X}*++eE_J0O4I7))F8MS1$qV4mU5gKmVKoW4g@M%XomHx0j=2V zQn*7dIygho5c1&J{Pqv>5XRA0p|^svvQRo)rVPh0)NGBZTXHw%goP0_iAHZ>JH8RP zWK}bDV)9t4F4RH;CHei%-_0Bxb&WC1_J!@fqP@le<`lu>GVfEiX6IJFCt_)1huCXE zSYxJ`xYgZdq^wfSRI!qkv@)xzA6Ti0L&8@>zi#Iil0D-zv>7f)a4j>e4FYIdhDcCu zKZ9BFS=!r4Ql7TXsu$IrKA(UHnSGDNj#32iR!LIV}yljUAoXP3S30n-|&9?9ySS zeZl@DCH@Rb(-0HYduGd`o}K%{sm)`UtYQoi<^FfTch6Wy?dqdLRiRFy{BjZc=gHke z+T6A$UC<8fC9j>#XHF@()>04SbZKTFU2ZA%B*3YK)zuGeCm2jjNazV)j~s1GER~I@=F#VFFpA|D|QjJP^4H zev{I>zKGP`v2LDwP1=r$(i@3*JLpTqsy4X$>K$hLL2upPa6&2r?kVo*ek!eh7-oI- z!#*cIIT;z&+RNO=Pt`gh8J-Pmx+13Cty1B9Y&;Ttki_k9NRShD{7IhQ?Pf~PJ9_7A zn~pBMbZFO4L!~m$(PshSHYC}YQ>UeSJ|a6N#5Y=!X* zV|ypbbAk{pVMhQx4BJ54C(>`V;`t6ZZ!j`k$6)oxL&HG##C=?U#cOp#|MQ)&ov<1D zlsFdj_c9`(rR|eJOXPn)W2H8>N?E7=%|kSL`Us|zpHg#9Jv4Aq^P()w$W3T`5;IX2J&kqMP9vFuo;-~QgyltH=lSo*jWHF zu%?{dvMftA((M9K#rQ3Xv=a6(+BdoDOGjYo?-V+o!iXFF2Jl_V9(a=FW*hTh^U}urZ z@=LPuM%ms(H2g*S85AssUnCq+>Yfx#r82GZXH9?19r4<(;w|U)Dpk@gDascdu!WuZ z{RWG#+(bZ-hgqgM1Nk5&*&8}YgBnM)8D9t0;7_tI_~62G4W|&ck65-3d=SI?(-sT{ zZXW+R+tQ5)dN9=C1BG6{UUjRNb)N|O;@{looNrgf3W)tkpS|cqLBNE&g3w5}H=shd zkl)cujjDfl0FQ)B&z$cefdTx!)JP>lW1w83LC}asNgyYDftXZGSHR_HP=izHAc?&Q zf#s4BUhBliz^74a)H0 z5Q(IN;Ny|4c=p33BjBzQo`9Uu#(aQu(N>>1+Gxd-8-)SMDXC}*(}CK;0t_5JM{!IEEQS-JykBa0p=^|BSqW|1&QYm zX8k4;S0P`-StD)_0h(0*U(%G9Q)ACw& zs!Y{ozBx~BYMDnEitn8Sl63k`%Glvycc@2;?J6|4kGFTPa?O&AfY{L(vljnm3KR=puQ|{ma*z>hgWZyhK%oFlzgd`^m8xl!9 zygg<8jggJy3(yX4du|(aJkl!Xv#rTR5P`ygZTFUz2kPpZc582T&@B}#JRAaYJLTh} zt7(~r;^~==An+M!LGC=)hJm7ygC!p6*v(-!PUW6Ue>e{OA4iP7a2@xpYk_1P%JWxp zrOR`py>O7l;zXlAVtqF+kRZ6n^k2Lf(WziwiJ6(NfZ&nv+W-#}uG|GwA6}ePOx3|p zts@csEOsTd`fUg{x_*^s!>U4rL$$i)U6uhG=t@dBK0y*yU}Vdc`aURErig~Y&AUK| z=}6nLE2vlMPWJX6ePua8K&+3JT9#l0zaoSJixfo*kyn#=`#PJ|Lq?(CqJJYC@?6>B zM8}RfawHwt$6DXwB;M(583&;8vZLV!MZiN|)OQ>p!;?!KHrSF$wvph-{E$lNqwFj_ z&9)`{tz_Q3likG63#%{MG4CI6{R|v!1s@apEY0hPx6da>AogskT*9V?)zOR(mavks zHThSU3c;$JO(o*0zCjJXHVa+rUJ2Xss@OA2*78Iyf zC0_^XIT@!l6(jgzblD(|XhMC*g7CfuYHn;&D!7ZN)xOO~F*M62s!1+p~qU!cg%PSWd?W`0*5&PbUZb@J6sNCkDVGSh2ynA<43jY~vb2 zlK5xVkVoQVp2;jmu_p8OS#xrYF3C*N*W?FgfmF&t5)d(|(h7k5Emy%fy&I5pcSpGR zR^yHFM4@L2&@h$4W2i>SQ}!rmZgFYucxi4a)AkwF4jdK}NUDk4%1QCdDMKttl&i@i z>Z5bQ^K--nps4a#^RQxr1B{HQeYo-(^TmZB=uh@~f>e3C84U&}+eTO|JHYzlE`W0C z(Vw~RaBlc`(S)2p1I_Uu>TdT$cU5TaLyP2TwvnPaRKY;*cGE zA81%xRIYYKtHjqIKu(K83?sEYr&8wO(;jVie2pC!lL{|6G$F?Blvi<$C~?gjN>4?r zAO{m+At65rH62n@DNFHOLdj0KOh{4;GmWSy5uv8mki}DJl$8;{Owun)DwUCr(h(^& zZAPv~oT4vHPt>7#A|YOo^W!YBIApU*f7(i7y#;5b0_f zdJtJ*Qo7Kfb(Nqx!F={A%oUf(qd|?YZapf!$3={<8Fmm_F<9rgy6O--Fb_BIa>TAb z$o^ad$i|$>$DBb0@cQr7+&iN7u>lTha0I@hj~Io&Aof^E32%J)?z;vFLH*(XXo3r> ze$ni*;t{I&;_Szh1-$-&jSnEaJRerYm)&1Mv zuxO~duYomscfuV3L=0e;D6n#~S|o~`XzaYGtzJeI!W2sg(6P7>7dsyzK>*okxQaP{ zEW+$Qg*}ZqcNO82V}#TE7>Hoqk%svC^HSFfY=o5VgUeaWtk6$VH=sfvW=LcaY zH`v~}(;_~});;VRqMK}VlGDzlOb6GJ#BS?sB+p>{I~-6R+b6Mc6W zkFwnkx-?3^MI;w3*uH-X8Y{yLll00XpTM~``42a^AJf1#io80%NZGHX#PfNoADc~b zJ@n*wJAV}u9N{l>-;BxeI{!LwT4&Y@IPZ(G8b!aMQs8Y~RfXKhaJRjS%eERV`dI8Y z{{4OzmC>UYkDW$PGhj9KG&{je|JOypI^h*j^dgEaxEYFu2d2O> zgUgEhep~jJS>yt0W9U*CX7iSk7WyYA<6-eMY!Je_OIq!(_u)wUQLLyP0}2fRNW?pI z^ctr(q!%}*`dpe_VX+}8m@`aXr|!;BSyKwV$S20OYkRn`wI(tmGL2v>dP$JAoE@Qs ziPx4(MddKC&?94*3hEhUbop*7ijvM+I!twx37sp~Jeu{jhW+-vA^I8R)CSY!a6jb3Vsiw+LKT{SyoHL=<3pP0-+kZ-kl0Vk;7{nEIxF?Ym(p52XmKQav zB8Pzu0eHjMda+6?hnE%Ddh=3`HQjKQQB+{VmYgM-u&l8CqqGy*lcA#x?28#y$|}R4 zRUaa`Ab4FgVFabjEo^!E|bJNrsXtWKNp+FP~dk4idK}GoDWuagLCV z^Z!8CfeDE~v$m?nL-W1BAaF;3Wq8|nJOV!mg*-7yS?y%&^JZHDSM0} zNp%p19M9AtTQ>EZYB|2_n`)XycD?IbXwtCIb@*ThWi1uKg?FLOFf5x%b@H;`(PJ-M z8%P$kNJxjvdsra(R_joWC%7^Gk|@|&!C|rOdWQ#bI*#MrAy(3$AFIE(&T;YINCC`l zz0Y`opZo%S0deV3q8dx^@Bj{Cn>FKdJwXPk$eC1fw!rRB@9n)N3C(&rh){T$yLLlv z9%&bByy>)fp6fYx)9wurY@~R>BOd!pKnx4!yZh@{*!5bFNDW8`JVy`y1Gp(*VDl!K zm0fE0y50VpsKNd0>Y z-j4_sL=0AV>`RCAiulj6G^6}SSqTgXNcKAuDbn5zbuI8mcMwDIP!P!A=~M0PuqWwC^i^TpWvtyEqr+P{nv-X=5ywIKug1C0 z#--cbJEwKsW+tt>6?3!Gz1Lg^LE`Lb4Sqh<{*7leoRx+O66?%Agxr1i72LTw@#bSLB7ojF( z<``PzipP{ym8s)^3$;;F~TK3l;42^H5rIF0U3^N~XT>d%bO%**Ha*A2QFy z%02I`37+`lDNW@)?To8(O5eRkpU9&w#lO~87;~KMtJS#10DGa? z6mScAbZ%$KvswRL@ukZ1a{J8GZHo%_tI1rso_MPON+sCMZDnP(XCJ_>{9Ah#;wdNB z;j9+4=2FL8>$$i=7wPK45ZgPKfrIAc##462qs=*AsLrkMjzM@dv-)V&`9noG?ie(s4jGAhr2M9$23ad%QoLMvxJj zL%QP|3nA%Oeu$=NQ;q5A9Rw4l8TegNR$&bcqgLP8IL=dHx>Y;J5=Mb_pdHPa7l?nN z1aEgX)!#X0_|5GyKl=u(V>f?% z=zH9L9-AjtSO3u}=HL$lq}7|lk^=q%?6n6I34k6vw=kLA`n@H!AobRSG~Jac{6)%M zmP{>PILmRfohP{_Owkc80jC}*BmWr&_*=Q1tVgdIT1+EVBwlk z#9WY440JzkAJ{Zh0jE<4eb8tvhoCH%Q%K%L^G=Be2}b_Un`O}RL-K-5K@c4I2IU|4 zAJ9;5$AE3H$41&W>2m1mGyrK<8jZYjl(|t9g|;NCmgw*0gtC}I2{xTn^I4Q>-gL|7 z#d)}uxl?$n?+mB8%Zj;EPOB`bO`{#Y7upDUb^#G~fyc^tFhf$-dEewIFB&NebK&my9-ey}~yfe32an`5jo z%PJ1s{GzO#X&lzfH!!L#BFG^>tI|zdI)J59TO4eTuMMS zC3B1@5y~CedZapNjkmrjPDAz^F0vupMoSa}?C-6~5pq+#mr!6ofEVAFHvYoc7G)yY zorazgP4INm3hR#U1OnmQdi3V$yh834-BAbgO_>oK75m0Y(%%2pdpfE@|HGa4a&$s_ zA5(SPRCQaYcGpWjMyL1yGkZsp{)aLFC7459Ktw%9$qJ*ADYR0j5N2z(Xy@nG>wQFw zAS3u+6~?#kJV;0=it|tKi0=f-VWj`PHfQ<2+I+(UR}+0CKsSv&jinWhTS01rY(%-D z8jV(36jT{%Q9(rgkW5P)WjlbK9=YE$R-5cw&08iK2eHvedNy^)uho_;AKMp zMTKz@YpUBCI=CN%se5wiaO05gkl#J~@nGoj7Xc3-j#aycKCAhMdR8+t_Q>1*xIPF< zG*#AJlw0wTGTVMh8NyFQq?iH+eX=3c4nGfq8DP3pY_DFcB}?Pda|(eS0!-X7ZkmAf zCfZf+(X>-^vlMO5bfMo|)lVKG46r=NyFE3#s7&>s^PqO<((I7hg222iFkfPAwSmkG36_Ith+Tn8 zVT4QL0i%YhxO^R9qHr+r$bs^alUS_?xI%pnbv~Z$;wiV|evYa1Ue{1~O1bgr>E8(Z z#EmVES@*Ve?Zz0DKqSO7>P6zMb)pPSw6*%2JL+rODjj$QPnPtO3}z-kE$S!lB92Y* zUhE~x$j!vXV_I99c+LH*&JzJK1}pl2KI*b`+0sd~d>)r@onR`V&eyBM7L5C4j(&SJjqsk>o|rJ!1&q~-^|a9Fl%Y~;-vcYe z4SMWZ6Xk5Wf?25CSw91K^2^;97p13+XM5O7w!P7cT2HkmtGvf@ZAEN;;kcWo(e8?jHlfvYxdb` zMK60&OH()o=!%7r>A5{;ylmQmzTqZT$`je2Gokv*Y!!PH^>?A4Yx~}#PXu+~$z-#X z<@>PC%B$P+B~_7u=E20ZFz=1ovHGI+af)P-F7i^f(q*GRF=`k$I=S*?kdA&2oL1Id zK}>kiQyL<^cZt@|LYb68_KWZf;GXd0qq>{=sPPPA${p*bKOULJGM0LlAfB!Sh+$oL zlgVh<6P-GR@-;siM?g{^!cik~At1EarV3o72K`X|QT$pX5X{$No!IJ3%!8p6&~*Hwo0 zI~%Fj%IQ97^Jj#bj=L{qn%fEgxyp4NuhNT?8au?kgY{$^CK_O!Om;)tttaK z;sk3t?VQMWu9gsG4?U!A+xL8Hu@epxTeEpXcUxcXS4plKXq{Ro^|g<#HR-B6W$+dW zXdfgd5euTS`kV$Wc}fRks^|?TN?j%d62hC0hZ=AQ;(kLiqVtI9o=>-b+#*Zt8a~a2 z+cvRf$n6k)u8HwJnH3`J1%)FyE9yV^AczdR=6wYDDJD8M_yUb*vNX@sQ^pt4!7`UbHUBGndHz z$P6PU(u{r%Et#IFcMSR&P|UUB5x=kZmbnR2%9}$!D?a$tu?fTK?U%oXF7RtW^7^5n zCW&{w?A;`iJ8!*a)S7o3`jIOvcan~-sX4Yu#4l_FhOzp#D2^s~N&0H}_kO@VzVPEH z;Jv*Ll^i68`xf!-sAe;018;n5uXK|oUopRH(!(h1X6NK?BldWJ*6nk|?m7I};`+YW z?Lo})8gV*6yUL3Y1$O-Ro*eEKz&*T-j>6|OLrjRXSNLxutjA5X(L6XM@O(IFc9g-1D zuQ1I&EQWha&u>3kp6ViRA?h4uS)-7CgCptpE6v;vgu$b56g%p&e&PP3(~zG-pnrTD z&X^GX_d1RB-#Sgp#}`i>{cDC|EptnuF&W;f%Zqbsz#O(jUN*?SCV?Zdk7Kw55yUd* zQemO6i*;jdO+cuD3Z#fCn$dR;R2&W5xNC_%{taaK1$Ac^)OQyb9UVR3WoGKyyh;21 zg77Oj)1~KO>t?3=CC7&&{-f;{DDcS@qL93X98UFW$2h~9tNJvYz<@FkNmbhM11p1m+e+M_)oh`cmC|@pcAz3kDVmItWH8Fn_MkNko&} zVV!M(w6kS!wT8Tg9|Q+l-o-CeU6blP^(&=l_R;3e-=yyUQ1+Hlk;UBFU;~A_ySqCy z?(XjH?k9ie*q@r#B~x zO=;ge`U5zduB-Bi)TztdctxbE&L$u#6>l<^W~YKHCaN>ZURvCJlX{4oVPe%XQ#IL4 z6xOP5@W5kHx=qNfDyRxr4O?efLkP~Y`1oK2v=2g|t0zLm{Ft)!%Q zmShsFE~~qab0De-6Pp|p)LUg1;QPtybvIb;GoV(%?kX@ivKMfoG1nZoTiE`VuXaiU zvOAX&t305e*9ho>=JD!Wt4#qF{wLt8GTN4NFVzwXR-qA1lniaOr%X|AsPI z%^cOJINT0&%xZK~NqHpmM9-u`rxnxkBA6^EKa-C_Vc@GYvmInfW`*t1o`C9&$vNVY z+7gszz8wlpLo1%p*Icqq?pbT2Z>(T&bs{m(RY4-8SblC|$A)EW!2t z8&Q%usUCGa>CNb$3~@DXp?cn5`byn3-4t*R$7wRcHO4gt({mrbym*#9GJ3gJLd7tI z0Iv)?*m|YsHTr!J==}Cd%L>cBemKOzA=*XgbmA^2SM`24jW>11*W8SURi8GGTZcOE zUG`5xh+@KIpQ^B=IQP~Li{2^2LG$?AT=uVqXR6aN!AtCg5k(B*{e%cbPqL0%S5(4F zaks-%vP~UH=R*y$O>Ie+LryYL2J#M<(1>G(qK;c$)G2L={ZV}J=EjiGSZ-8ZrYs>w zur`!ph|(lnwX!*Gg<}*Gvtn6eqZ-8hVHXc0`9Zx~E+JKKz5G+a|TGAf~`sF^O>qaGB*UX4c^+0HJUmMJJQ!<|FTFp|5r6DLF{UT^N z7POiQ=;dGUc-5(|cNmBDUq^Z)E9fZZG>E}>JM6U|3n<~Ay~fwh{`S0o^rF0t^xjGM z8&TAbwRu^m{-!e`r)%%2h-i=Wp?#|I3}kyQTPZXoXTPEIo2Y>M!{6ks+<))8)Gfpy zZ6civ$)OaQW7GXJH5hXs9l1Vqe(*b~=&$ZphT7t?q)Dz7)ly7NbY$2U$O0J|9Sgxh zk7LRz$$RW7oyAj>jU3D>UG5XqR+@_CcFPJ@Sfza#p}gENE}mQDaqm#iG8Q+g%k$@5Dnar}>Xw79M4x6>f(Z?GXZ zDL`n4wLNgdHvt%Ax14%|zX;y9btLEM7woMULSW1v_~HU?0ido3aKk&mLcc!pMs0Xd zTDORI#s%uuF}(K#F|c3XsL3D}jF}UXlx?leUdydtZh*w!A9YZMvntb*-Q<^7yD4h6 z2a#7%lBafy1d01x57gCs4JB+a2-ROL+{A)WZ34ydRfr&$ZfI3d2BVNmh_UP`D2*3p zrebm~p*$J{bx`Ig`i@0jfrdB6NZH_(m9#N%jV4M`dvhq{+B4jaH0mpf$rtOPQt71nf`9mBy_`Z4!h1a3Qy%S~iJA zq(bh5w;PHX;7ddmC^)zfgmvFXe z$;Xpt&hdl5Tqx)Z&$zGL7gCf9eBoyBe#&wPFl|(U5VecC+AhS8pQz&3!iO&6)FEZW zLz&QC>~jKN&dafbz9`*C1U(K*-n)864EkUDQ&f+9sWPe7s3=|Bue5j8n03+MT2{BI zs%-4;jQYIduEEtohi_Netfc{qsX;}MYFJ7ZT{~^5b;7S@c~6jG940T7A@8J8>Hvnj zk&5eX(b~xt%4xw{HQCHevUE6!(8Y$`&Njqp(OEg^&OqXpOYc@dkM3o`R86~Npi$aH z<5of5lr+I{SYO3{ey)Fc9y7aOQ!#%=yQHJDe5Nb>eg8bBgO^V~ry-MAYb4h#V9Si{W0cX4bTlHIIb>;rbTmSrIb7Ms>IiV)h`(rO+yY({`E;*(uE*eie(!p&r(}H= zSnzylKRmG}aYTjMKonJ4P0 zxUf_H9XkJD1-U4gD5h30YN}}LX6GkEAWnBj&>oo2+ZkY$Sb}2m*PkIN7dj~?y8Svz zcL*u`sGqTrZ$&`IqjF{OI_CX7N_TcCiv}1YJ!5u{0}*^s^bIFuCG)@#*R~q4jwwMq zgt__PKI%pTGD-G^=6jY)djv5KRr@EQ!O@> zYMPgMAQ%-XF$*Ej!PZM540&UnyaoKV!C?hCOklxp3UC>}LXwt(40Q9oWFl+kT-!1vL%|Gw4N>@HA{nR!gH+)ebMFY44C~vP4=?C?N;R-Ijje ztLbJw2q1U5@aCS*g4qqcZB5;K6fbL%ehpeeo-fb09k$2irPWb}8RIB)m1dyaee_I04F z>>6@jrUh79jK$4}b;iYrg-{u81K^`XDPZk2l4I{7Urc31<4snUyK$}KJZrD40`gEw z!!iwa^OKc~B3Gg_w3p4*hoWr*j51_G(v+DmffmP~=X&IyaGw%*swpqu(ePkmmpp)} z(92@B;##qh5tamO$c@rMP0v}8^cuaJ?ktv>(CoCZa3(q)TCjm3Q@7O1#Xo?f+JQ3u zkt!kX-uCJW6bvE7=NT&OX6W0RzVFteEgB(Hc*FwJ2q70Y>(-fP0NRP9xJncGres^X za@-@7d_*v1Ib#dZOig2b)pxW{0{M zmdzgP5nIum9wRyQlM%Wj`4p10TKz#?T=;Cvy1w#b{R7Fem@uTH#^_-8T8~3lTrlXy z+d`6y?R5&XM2HQ4m4rt}`_zW@V0QzI;-Od~TseaAr|1y(P8Z4o7mnI2D16=|(}0N- z#hWzq;V;oynq+ilJefZGI7;a@xJTP-ip;k4(IoMfiNNomH6>2-cfbLQx+Qp}Y4G?K?(=Nsr3h-e`V@M48*x$&sT;SKTi>$h!e;Wd;x z<_U5TxMtFFjrl-q6wqj;cZ4$Y!#-XN+R>tctn!ZbpwqKz;4G}Do%IQqm2Gwx?z1TZ zx+B90E+sgDvl;4~G%3Xn%uNiI=wxhIHyJR&(+sSRhLph7jK~Q_^sA_Kr!Q3=5F7ny z2$YFue7MCRCm_0xQ@Ovcd1VgioM3>TdaJsvaTVSYA@<0xpRpz9TYMCicc0o71 z9$_&Qftk+KK{J%GMwzkTHv_2M8bh0iRR4%T^?I~L=Km_IJZV7D4cgBO#>ijuaYm>+ z9h#M=QXpS+z_Z~aOu3N^LCdPe2;%l}CTK(39_oVfl{D+&lhApb@P^+#A93l9oku&T z2iYGdVx=Ao@pXR<_d>Hy|2&91c~A6f)UVrm*bjC}%wiN*><3l%96a)_(hYVT19xM{ z1?;thfB=Ml3!C6k_5DyuZbu@PzLYf`&HYmB;VD*&>AXu0$9&fk?5hj)5%s6#oY%zM2xge;x#N-5RCzSQk! zD;RoHY*+L(p5uX?uVU>K>ZB@|+vJBDim^G59nOjaB`sghUx~@8({F1dDkd6$n{)Oa z`p1Z85kO`XJdGWu1yo1cD{Kuz=7q|4cf17T80(SET(F;-9s$ZtI_jekFG<)&!)XCb z{L^8Pmvf zR~NePxN#FY?>JYKdX&q9@4FkKd_t42=V;0fzSP&Nw`cA+#GCe`BxsZMBW1`FlqFcp zB?|+V!Q`?oH7~W6l)|)q5WD7!uESFd|GM#G4vZFh(SIQ~sWt3H#QiqvBSi5Qro84r zg;QWMuXzalXJr}1X$xQ^&%Qn@?;4}S6Dwpnsu56UYok9)D-gN~EZD@2M#ZoS>(Y=@ zV9V063E8&7nLb}#anCG)?KLE?&3a=!Y)9)3wH%FVy23*)bw5U4Wpx9lp9F;0;#SY$ zaKk=|hI*8X5Pm^^dy_!ypWCbW(Z%wNc+?y1x;N7C3+?vmhm))D?Y$RH5ETmX2+12_ zKa8!&7fqm2Vaav)VN2TpzF=f(ThRf6uGE`D*==>xkiHvqknS5{5D@QM9?;_j`b%0+ z-JlTIxFSup2=JLe@PmF~8QYroj$>|i$z8p~rbfD{o-I~AeOjYahNNjcZVIM-A{r_@e1_d~}!6P|y^ zCwG@$$x9>mDT-gt@?b-Eez;zp;W$ zOe!Vok!Z}R2?=%)E`>d(2Q{$dr-9lH(J+cF@Y&!7W@|x4Umy~jc2NKazd|6i0reYy zHY8u{dnmbw=<1*j0fuW&!lN@*c!Gf_*N~1ry}8V|=2v*VaYs_C&s?m=A75(Wty~1O zvWMgv+gYQt<_2%XaZ#BMr ziI*+0r~|xkRENBeSFdYQ_K%&g|3IV#6Ew<0ZB>B15z&YAGu5=$hP{b2fb<)yUiYNz zm-55BoX}~g5_u!Gpx*dNw_E_#uXcr;pF11ArBoo~k5@L7{8Ih5lhz+|{72Sl{WXSW zxP(#P?F(%)jGHCg9&IKcu%p+ywe;q_&?l5dPNIn?j2Lr9#YA&kqDwF=59>;gpi8yc zPVGfvVfSi1EBfgLBhaAvm-D4w*!!%gSUF_J@J4lrlzA(1RXtSAPbnDQO=kp?Y+*j4 zLEG#(ix2d7N&_`5uk0~P+rKQ~{AhRD4`UR1*)@^8+`bCZTI^1M}0>gaw@D)7%q zEJl{ngjZW1|F|UyPf3q39go%#rSSYK^v|M7!Qi5V|MU&7#r&UJRGk0W%X>~2Bo-Y4ie|C?1{=RwL&h>+RFuJEzhg@W2 zkgl<-(GI!fEQ=tbcEX)jN7?+EBdFt**jl#6G?le;DluLUuP>NYB`34jZKS~ugCEV# z;LUM+OOgDJVnn_e0ecm!zuvIwxauayGnVH*rz`O{Te57v#aF5QSGUW=_Wzai^GZk5i7d18s#94n--BH3OE=dG9?CEW*T%{@SMT3Ut%U!t=RvHR!xw zV75ZPSt{~PR#>dBs#)FwEjC9`=iCHh4F<0@26uHR1-84AvWI%0iOxtU#p7stiUv!2 z-{9cUd#!lfHa^&Se;s__be*AZzPV{itfx3Bqv(j##p@q}S>lo_J=78nx(axIWr2iTYn=&k>QJOQ&B|pptKv}PNG7g` zq^h#m+V(ORIP>76wwld?6!PQnypv5N>8K_%SLGp`)#;#Ta%QLp&@=F}eko zF!Oo+CJky0e4dK;L6H9tDPF=iCRq$Q>1vIIb`pv;9Vp2X8m>6JQ^$%U-p_lp;w3dj zQb+no%Lxj~FeO?Y7-u##bhz}I*pRQ}%B#UN(mrls;$`Gm0*#M+Rjrs!&uX8Gc2r&8 zQ0tJ!A^3UN+5NV;zR4-pd8e4en@Tce4hBEX2mZ97PywG9SFPdPUohql-tI-?ke8Fj zJzIcBkia&OcKOZKxi=r953eY;4z@>b9dwwLYqp-@%EEdM^EbSn{u_5o(43Q`Ph8cY zKmg(-<-k3!n@PzxYNCTK*w!}it8+P^!9{Itw@M~0UQwyjG+yOMo%NgWPd58LMk+tdQQfsJs&PbZo2`YYHe?j~NLA7iY6<8rq!La3c-R;Q7b=nuofpYcK| zgVVwsi_W{uBqs>C;Wg?^4cIiO1-JL_bWcl7*gryyM!n;-+&|rw-!8m?dlaaKtFNZ* zk6WKIr48(jnnaVS??DTOYL0HG5#NvDBu!RzJ0SC=zJRjW$^j-!>>m6A?%E@LuT@Ie z>0e|YyZt97neI=ob-V2dsh80D1w%iC9Ep?UW>+<9eAnpGd7gvM=RlF9k18TRX>J>V zTeWT`YtN=4+b=AHKQRY7wgy`Q)HvS^>-=$ip57~$5y9o~Jbe0kk z@n0dgG_-un>4vGcE45gZv?q0byr4A{XzKvHbY~kF$EJCjYUhbAS)}0|D(|H$+i()p znX~u3>~8$@dsayj)$0(AAqtsjfo(ywx~Rrlzw!9Ca(g#_qcuEmUI&EDW?kmW?s|oO z=vl4I;tSKCgVio1P22E~Gm@aCBRk{Ii)j^a6rBWh;@}T7GY^@AjG@wu*%VBm@CWT3 z5Q-RwE{#HX+5t^{w9~!eEXcRch$jVjF@edTiU&@lo&5;cb=6aHwNo*pr(46gRsRRF z;OdgA7U9OioyO{S!CfV}{D4Gj53HkqRrbYd~`hfn|40{>iHWLnq2!ViQOu?{4leUIMku8@g|8=^t zv9o1xRST@Y`?#O_{!+?+%r|#GeR+8~{qwf&M;{;v+z2`t^{Pf8$DrY|a<(=d0PG(x zy{g%1XnnifoITlXO$Vd|5!;=*b4zR$0;pEXBQI;$TU)i&lspMf&UN*83k7-%8eG9e z4|{X9xo?F?;d&QTR5My)Ff?n(%p&@0YBm*AWiZpoDCkr6L?g5f66wYn^<7n6q0SVL z)~3uQ!|K_Kn#rW`BJ)}&V^cB3OR^YV&b*U|6zj-1YC_D5ql-frnl)bbRc6z);EWzhW} z#7pZ)i#U9|P>#`fiYg03CTjMRseca&Hb%V^!6&mXvPbk=y)G7IGn2?;DDPdzoF5&b zG;KMOjdP?XEu6Ubh;|~W6hrS zQu!COen}Bv!plhhcE^-*4io2_;#H96(Uo|rXD z&tb2mJD+({M(~?nxMUqmW2jD@Cu;7hBqP@qU#vh;9Q7AmOwGImQCxWHaW0s*VRk#V zod;DAI!N-tS*YSz(oFb z7d~HYc4|+t*jt^uoR~}%U;4;F&Kn+6%r046=0t}prl-bMSizIz^z5ygI7xX`mAk^` z=ReV^VCD;|)|PqceC4mHOz-6!kt((T)@|y5I?QLkiWn{@hFsZ~POMy<+A|P|CBMe} z?DX$LF-+D_NnL5Ejt~lb9lk3;#4RZ%$Gp zepI8IOfKuQXVHK+anl?<{OT6CV=KbzUUHWH9Yet^-tmJG0e{&4; zvYdFROkEvo6pJCJ#p=n_)fVKm_k&Sdu*BV@C6vUN%0v zlqcri;t>P76~#yAuv&mK>%ML7;nhDi`m5t|@0*5ssPIn=;t3pXr>xI__JjxUTDHOJ zIvT7=ic8=UG&?esKG2v8{BCnty z0ceeC5_ZqPPxdu@6Lt`0Gb6!Bg{RGC;7&(QQZ+Fvt3Nrnp`zU^_-}FHrOUnV+3@OP zQ~cDKA(xG&q_3}Q6a@w6iu&SA#qjAA8TfftW={i&fKRxSq%VgK@&jt~I<{d-{Tv5f znEM|JYC^5v783)iO{)}@++I2!FBysO-C#-hEU^y38VI$-Db%D=>zBd>86Q0}vynb#p$}>kp4M0G%Ai;YQ_S4#;OTCmOW{Fusd$Y%T=wTm^iN|# zP5EYOXFC~C*5c~%u?ppAHr=JSWM_@uG<>M$E$Oz1Wnkf?O+z-aJx}8qEiTb%Qp9hk zH<{hv$@5f!-xjBsud)423j0bTkF&+b)z_e*eg%9zW2jRn*ny zkZ|y`beKHTS&ay!i(yRPZ0$7@J6Hv=!p9@3CntIoB#%z>)OueOs*O5H%hI1xks+!5 ztw5o3-Qvb}++*Ovwu&au(&QoM@e&((+OasBsNzx|rlR`uG$T8QPYW~HJQ@`>TA!}x za(R+uP(#h2pcH@NLT*c4OhuS>@eJFfrsY84s-CUPU)F29Wt~^&&Q-E)+u(_JPGid;G73qzR~e~vW~;4>hR0_`QY0TfWmR{uZbxUMyVsKk zR|T8b#z0lMj1q|CuBkT1uer#L`t;<_|>%t<;k zVLEnpa(gNKaP4!Dos>Tbs_3d@Ej2O3D^&>dNHnc_*{63oG-<~NE{v6ZE|vXmvlsyB zFuEiet8_G5>?A(W+Pf18U z$t#t6Q}>nZ*pYIn*fBU!<7PqDT}+2fT!%;tO;68O3)y{glzI&rmXM(QRlb{=n(Lnv zDaI6FA_{2Z&B^u`=k`)h+RbJPRtk1X)%2TGB5c7biktu{KG^c>pRBC$WGKyPXz53j z?Y%7>R$YJ!fTZpejQLdr5%G*;v}dzaZY;E&L_bs|HUH+A~KZ zu+9UY3eS@h6~_isRM>WBb$)YUN34Hs2i?KWZhdvtlxnD*j(T4TGS;A)OJ?dpyi3rZB(#GA)JeBNZPoTvu;b2T@gl*4o?Gb2=&-gN?I`uq z(yNA<+1}g^I@wy?8eq%ma>eg7bMU2NP81RP73q=hmxbTiO1bD)kLyr9GoD-j<<5>L zwM(T<%FIs5&t1#XPRdTn5(%s^MHt5DSf_8=T&M$JsZ=NPuv6J;?dA1~pceV@H1~4~ zHYU@t)^MSne05ok2*?Pavb%unArz>&YPjsot=%on-L19O(`c@#scoUA8qfsq9i5%c zz0R%u$pns_>EVaKdQ8>$30#c>xpI$k{_z~?rnh>iTLA;n&%-DLMu@rz198AeJMFZ# z1i>?wtdGEY_uES=j=;8<8a(PbITwiG~Z4ynTWc}vR{0z5-48AEx zVqBH74*>54X(O{^2Ah>WxBV`4LZgSv22lSw5dRt`%(A+$vxQk@Z5!p`)yDNhSchXL zXGQJY#<`Q2_W%K$V{=>HSmrMbQQ=Y3KA&|?6D-Y@t&T>sjUPjr@M!<8)_0p4rP%v( z;feQoG@J`b<^f2>cdqy^ZB;Vi@HNu+2q->^`mZ>wggXLk`j*F2+%I=IFL2e%kWe1M z=pF^Bo%8uj?uAT^s@S;85{kInD8nY`B)<9+Gs+S@wkWicoXXpCC(iI?wtd|D{Q#G6qI|z zQ+wKhAikGKNLPPi*Zin*x-pHrIZY!g1|?1u0q*?9W|3mJl>PPy?6s-XH5$H{Oi00S zV!`sLa;C9!OfbJ5g0Z1le=$TTBNR&=``1z7-2aDQ7#vG_;3?0`vc4VXlQXbz<+Hxg zl97l|x7Ci$8YBbl=-%|X$Z)`j??(p1a@HTGxJIqS)@@~`1O!kKt~-zhe->h;xceu> zf+Zs%v?la-&+g-(z5f3a62IK@hMHj|ZVaOEv$gCH*^d_^4gAyuHJquR&1J zDg6CLp@>(t0@c0!bR^BE5}O#|{wYyXq~JdSsjtDe$h3(Dt|xJM+>%|$y1j{Tny_OHLY*H>>@KkM`DTtBh-hs|Yb^}+jxEsJ)@ z9sP$rGtDadFUasGaD z_5T~Ps0!|H?_?J*pWE6%0W1!G*9_Nq)D3#AMVfZ!nbRFf<(mKZLTj;Ri z0j0td%z>Pgxf+))o;xCOTU&bhWNjzKr605ZkDGQZ;ob787onxjrQum7oQAYhgw zYDn?zF8Opk5B5f2ORo!-VkO-?6&)r+*lw=`V;v^Tzdx~$mIbtO->jeb38WbQ$k0Lo9rub`l!Z>xOvSF~lW%XYq zS?daU!8IQXNI0BdYoP}-^a(tL_fVKL>OMRsEZwgoY}9An$(S^z-Q-Y1ddduLGJp^& zw<^%e2w{;hYf66myzww5*7!CJc$5xkICh~Vr&O3WnafdmG0NOA1T*{hh$_02a4;K< zl*?HtniiRxn*6>pXxPGL@vE{iQ!=W^is&(I`HZc;HIs7 z;h{&e=-o!}oKP^d`yW8aQQS%=CpO*5BEe=jP9yo=@aDC$hXPO-<;LA|P$POYZYy}d zZ1ROE4{Hb!oMaNGYmB=A!ohT-dfYU-rWtxu-aJPJPoI*N~v;nX|b!m>+p$7GW3g%s7MeX@!B%lt?HbbC#ez;p96HJRLPC*~E$ zyw-Bs4{9Vki`nfD&b`fecM5ABKg~%uS_Yqn1y#`W6wZA{s2Njg$FF+o$9|*xd+1Wv zz+q>)dc1N8<5NU9?ZDh=17Q+}F9B%J5E`M?w%Q|^ffM;0`zY=ilLYD+TI?rND}@ub zDunc%vW&cvjJiWnwHnciYSF8Wh4_FO$ozg@%?CFTJARt_T+AI_8vC!y1j3fNtWL($ zUI=j=+9%g&97RFkk1?;g11zV{14Be4N^9AMwnKWU+ zNljXzxu=0g7ylyCF`Dbq-SaBBT?-~Aru8v>5+++f-cOr=@T$Qr%rDk&XRc?m2Nk8G z4?W$U8~y&~$1c)JA5Xhpm>)X;=okG(t0zSG_#u!3q8C6}-N&+t&ZyP?&GlFQ)n3ah zV_H8zN~f#SbW8WyQg*xVrauuoax+ix!G+kFc!EXhz;NGCTpv}(M^<{f5pg%x_#GGV}>(OLA*45i;Jf*!3Hpa!*OyxlJN0BfOcm-#ipO^;T zE;JY&3e2Vq1sy8PtE^vSc&c$g?lUlXY$CX6gDld#x^? z?FL9Zv(6Oj1oCDmJ_dS?je~x=gS)y}g|>p(PdwP#m46GZH3=Nium;XPsO2|h|6tGx zCkXo!)qMdScLEU4#HaX$CY^D;9$+H^L&Hdskp0v4e0M zHKkhN@yUCUcCoKnZv1S?J*KX_9Lg;#SfXZoY`f2~h%P30t{?oLO};?hG$v2w9D1={ z(W&KGg)}JfP6hz@(!D~t{{ z3_6aE&_^$J)WV@=#Ws!Q;mdu1Qg?^!Cbt8V=|<8vkFNcQXW z)Eayh#6||gsxW=Au=`PO`2bk*#IAW(@nY5mr!QwV4_&j)ZWc6}KWX*9Y7h?lD zgkdl)m&g%+$E_3j2P(Q}JzI;}u%f#~ZX9n4IyX;EfAAqx@x}eJkNV-7Oz)ev=>+xSLB=|#FM{j^SrvU@=E50bvgdDmq^E%y^T#(Wu0n;-1N@4yl+^);lTmVVr%{oat9QiUQW%7YZK=kY$=FzEfO#3ibo!pIq zayCT@;{!XHYLYAZcM!)AKH}jKrI=(02R_tO9Q=`2>xlM&7~w+?EU}j`MCDr4)grxa zo*Vb|4_M*{J)r1MvK=4$pNnPsJB;6`5Fawa#d+bzF44lv>XM|6Hj?2F(oHVCB#{H;&0h{aAGO(W$D> z2BK3f!WhkiT?_i1tng8?C<_*!3E4K-(QKvUvA;1HN-;mr2F$o zR9-kd45ySdjfvFqf?alYHy5^YHWo3XpN2cx%>4XJ33opK1E2p1>@!&j&=C5(Jewo!nr^VLds{E&3% zGjR=C$61y;Ej@nhl)aPu7o%h=G|~QVqJa(IujlBQLYl$ENZkZ>uDRCS~*;C$cAn&JOCo&D8xhJa8$!we+s z+nrN5=0-uGU(?iFWl(*IJqN_?75A4+GiAXh_#+bHZ4j)X5w{=^MjqPztenaSvb;Yn zTFrHg+zXGMTv^%k?k+?P?8i) z7T)+-|-c4y-0q;kp4j{I*}utXtNz@Yep$CgH?JVEyQ9ZrdrGxINMFolAF+r@20!w%dx$f91==qKwxOmBUI zMkA(=wvdjd``<)^FziYhsDirqR@^7Pgntf>#KF9&Z^&V93?z3#$OGcg_qvLzh49}7 z9(F5*G3~~{Ad%`Dw_p=g6~57eAt6^qoNseked*|6W6*ptV&QlOhzk;h$h=GSib7&F zSPe5{YEP?5G2B~v&Z4^znWhto5_Is!=~MsE#|6>6g)%G>!AHCul1jV%B%cnV=}HKj zSA*LgX?{}-?$S9&hTEP}oug46{+X+`4@2)K68v5JTnUbUTJ@U>iIhR@fzgMiizPzY zxBFzJSFQRTAK$LqdhFaKlMerB%%2_L)0pQSqSKgX9c0s(7agYD$w!mU)q_G43@;+W zM?we#=3^?7ZTn6-TS6M2uS)nG58h{0F^AzDUec?)?*sZR8!S{o3x=W+8CMQ)EAd4# zLqZ-a=aF~zz6(2cWi8u+Kqtu6`D}|jzk^Mk{*BtU3ur8iktCK7cO^Uh!e|xl@*>kJ ze^Xf~kdefaDJ-kd=jx9JUm<7`!vYc>SM6zUsbN$@8%4#@Sn3nC$lgaEg-6HKE_@Ot zjTO$d33jO;LW_kt3uMw5?;pxs*>nB$7!@rw!eKT)m|v02A9%2KygDOt?&ZJ;TY4YF zF$wRIa<$N|gegjgw}KWoQG{V5Fj%*4pRb|Q3b%+#m@>x%h!?N`)RZ-&2J(qfrC51p z_msMO+2UKi;=^yG<;ly?4B__bwcw$;1&DUA#0M#>G_{(9lI;&?Qo)X)BxqJoJ7^oE zRl&Sm7RZRE>VnqlJDHSFu^Jjj0KiR&slX@e#`axck9wTi= zdlC|pB04N4bpRJPI+u+?d2Nj*aHiTG9?T`cLhPzgS7LoKsu0lL`4}}nOu>n-?-5lW zo7ilPSf>Wm)NBm>qT$iPTI)&GR%ls6=FXO60C1v&P=@x&Z; zWn#2k`o)~^1KS7=4EiH_m4a%u4QgPp8{Z^g+Dau+nM_{gJleIN#Hh@L7OQJjfK#|L zn7oLf_w)t*l0|izLC%;HYAA&;GW{{@S}l(n6(By&Ol){9XVeY5hsv+Dw#M0?o6 z8@SbB75;MJ7S041g-)+Ds-qRfcMdd$&g8^xi6Ew$auwiE%#kBF+33e4okRqCl3y&$ z=PmHu)M$i;vZ__7E~PzD2jeWKML#GeKU)X;g5t6gmHOBbb;CmL3k(V>$u-$yKLyP5faadCMpEt}F2ZLH~ywtkZu)R>B+PA|ORlKk@sV0hSphVv`yg z0+EJ`6e3<2t{LXr9sKcN9wBs#KCUfBfjG?w*i9Xn%8OnCA2lFjHDhykT7PWCa;gLi zZrS-XKfMoqziq5=ChCUD{`TGkEZ(HDZb;>^_?u-d*;_InwB0T#$>>ewj>8sLB{R3Z zI`A3z{QjVOV6^JhOeXV^AbgsS#Tcg<+388*e~B%KCP<26Zf#p6ps=+;rE{>yRHOX* zae@6o?r-VGy{Opv17HhT{QySoFB4=pt^uqwi8gwX24IKl<7(0lVxK3=9YGY*07 z6yhKaGzW^d9IDG(2a_q9i&A$a98cCuqEfRI;DV`SqlKKcG7JB9pp~~QAX7ABE8|o9 z%+^FLV@qrTFZ{{}VE-LyK6a8mP9`yJL3W>A@i~agB6#G@RfI93#Zb<;qgUtX%}}Z} zPXkRmz+Z-;6tm0v<<9wa^n=*-1LERgtw=v3(r68{mAZYF!2WJr8mbs@qDZpR8&ol; zJX``8dz-2GQ`;8<@frmyX`lZA__wzgGP%a{Ebl=$u()-wo6m6I9GN zMDrt1@|hg6*R(~823Wrw&)GR@oknIj^Rre3X3n-wBVt%}4IL%1)+>!{w}0GGJt9xz zL!MNI!K0~_X*oPzRm%WX0o(@0AwnFJpeM#uLe|1})U_ zoR3(ewqe0r7THccQnU2gcBZE5tSNDjt3e2sbZ{RZs_w2{_wZ{nap zVhrA35L2XB-3GzODDZ|k{jL#^za*61()Vu`A^znSf&I&^4f@R8mH3y-jAz_27hIjt^% zG6wr9r0gBnNkwQ9JZza|vO~IJ3;h!+8dhzbg87-i`%I99Ra>S+C#pU}P}!%9!0F7< zq@nKLp!CT`!s1&*igK|{rb<`%L46`s!|W|n>^_lrRA|X~OUHp3K5!e8I9znaktK0z z<6NN4r1hVTEDZ}1o3g?`MLi{KkH7o*Yfh~ zH1`1R4W0UhTUGRhsv?hYBe z(A@;)#5g%%b1X0$4im{D{*hOrQ@nF|u0%GC6hk11#Uxat1qT6!!t5csRT8nPi@O zsC)8YPo3j-av%{(NtRebO>LW`pC50U)RtM5nL>}jPR-cZ<`eB=&Gu>F8Ppk9*sMxl znKU#+p}QZ9t95~ByoKsDLd2p0>G<)k>Q5+5cQ4_TYgiE?EC(xzXGrn050oRb1w&1D z3KH2qI1whb$C~MbWVAQTrzvFrq#~y2ZouIF_?ExSojD`-vbL0n89G z3}-(2-vPoYA1EQF48HR3nUn(fC?%(&${`7P781-*;%7>vG$Vyt=_hI6g%7SUll4Ch zBp+pkQw$MBc(C5nR6ZA}O8UMVGcl@r3oX593a9KM4e(zxhA~@6{Q4~2gEBo<2wD9z zG>9euCj@d^4~{39^AbI*&OG%mQpDmnQD=l_P+nasliK)3%n$gmIx`HT&n{h{sOJxR zwn(3V7s`t%M@snVB;uf0&;m5H-KpsBpv8T}VX#aWV$a)zeawYZAV{@=65n-HXha1-LB_H|s z>67@E!qmY#kr=25r$leqs88W(D>ESuxE>LmqRi8G@n-pD`NF6x5IgV89{7j!;!0zx z){eU!`+WY!KE1x)@bQ|oWMjITpY2qlRPXPqiicq`B1POKp;QkSvQ}H$2&bfl8DnB8 zSV~Mm6n2vVsv2kp|1Ar3FabQY)%&AXKgkBbtQxCe(r@CR94nA&M@!&qFMxt*xPium zquU*};uEn^?O_^R(BeE;A&=TVf>qnK5%yaD2V-v?6xS2{i{kE(;Fe&)-Q6L(xVr@p z65JhvyF>8BgS%UB2rM3Kad+3d-`~Br-XE{()vG#FXSchjd(O-`(?_QJ6VmyaYD`F~ z7M5w5^<$e9ZcE*mA*|{;d9Zg?XNKk-gBeq$uqf4-k7O+_6PtM|J;N53mY14%iZWIo zUDXS2r7#e;$x{@n!zz4}zp67y^H=rH4xL>O_(ER?6khY)`Kl{l8H)~@opWUAM`Y@y z;MB$XvFHz%o?2eegJMIMfY*9U(R`D~y{{W%f-Cg>hSFR(qhuzd4HeJAk#1`th%`5Z zvImd70J1u|^l1zTzi^5TuOd`bHF0=-UreNfDFytpbm z)f1Q6Q&tIab_b}J!c$x7$67yqs2_WV+6X|=tG%MC6fTsPsk-V5WDp|=KGpF;uJqMO z9Mjz`f>rfrMyS?V9%jr_DK^*HRfiG;5rk^5D6}4tW%LFa^H6_YHBc`_rb63H=wy4P zFQYfc@J5ES+Y_3P`GvxSAb?ianx81<1Cu&i??{n28x6bp4iCG4H~Kw z)l!kGe@BgSZ>68AO?RMI$72_cYyNj3-5F$9)i-v8Tm}dqpr1Z!Zk+L*9B21E)Pzt= zbPSpYw-{e%HytX%h|p1&Zd zZm6=&~`iyk~W5$pLS5 z`FpXj>ZMz-@%$l;tueTay^6(>h#Tdzd&X*GNKj&*7{-5)uDcXbjLLQF)xL8F0ar@B!<93Dp=eQ^P|8v}i|0zqa z_)i(B`hUu38JHvZHZ6FE+szG6T3LjUGi`+QOLN7lz~x!Qncz4-^Lc4(aoKkE0?Dg+ z{MMZSr-WIF6Y=|?XWmCYQomtD=?zP`(eLgDQ8j%n)Cj}R7q%|jHAUrCKH267Nk`s= zKq0%Lj)l!@3LkGfIeRZhAM>iOOsMm&yfXh5WIFUXYCa$l_oqIUtgj)Y9L2@yqJ-iS_HB%iD2{3{zb!dA{d#X8pAbx1RbX+2jj_@~?Uj5ltOl<}<82@~~C zJJ~)mR{J;I>6gC#p7CF_?SbY=yj?j*I&Cp}tsWeAhuO5dfCvz6`T}8`K3xRL#aIoQ zB8yK>$Wt~hPI(iB>LO!Hc+kuVHDKS}_LciNS)NXeREhFiy;g<5i2F^#u)~d{<0Mfr z_TBq&!1Tt4!q9eqKT-`q`veF(?{7OQVMvDfIQ{ar4ft3Ucn#~z;@O+oi-r=6&4-GO z2bPiQ(=hLItqVHDV*{jPk$|xyf^AX}!T#{z_)d01(awwoU3NoLllED3aC`(I*c%;G zi2=~|F>SZ>0DRu2?KXci{NGMF*6dB%htR=n z;fqT8%oz?m>}z{rhrJgoqfv+XL5J>afQWFuKcp-fXYA~2hhc}mAx&U+bdV@K*pv<6 zf$b=)%duu|5(PEq@i*g)5)Gt<4l*Xmpt$IFwea+70S6yyBY}{+*hRQIyBo}&nt~4b zj4~)FxIuCe!R3>|haB+WZKDi-q0a8(CKK=e-kV}VP-*z0hZaDYG{b*C?C^fz-+5e% z$x}$sVZ2esFJhyOQ>frV?@bONXg}gVK{lccqnrMlQpl;tGjqn9y~$HFAt*ikzH1i= z9h8m<2)OOPX@Ly6rXzxxkU-j9>|MOp07nc&aL7kM03ZA706I838W3cHAX-;FXn zSjZ@2otztV-y;GFAkGlI=)ZwntQ_K^gW@p&ls=|U7M`aTLpS9?hZ{y2Z(N=3-gCi+ zJxHMGPIf=hPWO7VC+K065Q1u;T^g^;?pJO8X)_45MHj0ympKE)%B0XJ;{n=>+aCeJ zK?*nhG?+EHRT&oW$ ziue5~98g^=!8TDrU%=?<3l~$vd37dPwkBD7VKz@tZHVX~VkFQ{LQv)asj?QJ0Rzy` z#Xd=FB-CZym-5|Y?6N;a=s#^^T>@1E=k$4QvMzm#oS9lp!YJIZ4m4c5u3_~f#WW1=JiVMPVL>@uCRd)wfhQ0 z@F#e%85`g$(s}0*RCsNxvn2dF%}b!h(lZ@aUbkO~u~RP`0- z+)-bM9v6Yidu^beU7+4RqV4MiYf{hm%XOpypIf8#fBX~SPo+aD*%(sf``^kY2UhRb z>jGEI0&Oqgn%pwBU;NO^%3v#I&^5pp;d4FA)bsYC8WT*#&6w*d|03XyK1UbmVM?7| zaL!FZ{7(GrIW)3O%iP(d+%V3~&wD-sa*;rWMj7td=lFYE=pa^j^%g?VFUZhMDx!K# z&>?gze8TUGmKQ$)d@upeo$R^notG9vu7yE|G;9ER>|;xkeqHulGZVpibg<1phib!8 z@S!U_nAj*|>V?u_j>d{OqkB8-@C34kqG{LX8W(if0cE{X`iIhPaIxn?uaOssA^$;x zNqcYajUO98jA%V9WZ)x!kR*fks^9gJUHSN|8GymcL5Gy?4a8t2U6;6~h%WHACbI2G zFYxD{P@ilaQb{KbZ|@&h(Z;%l=AZbeBE~CjxQlpn1j!{?wYpsA3BUK>+U>H7@M1ZF z&bYt1Fx1^unF z3gcEoSoR$5wbt7y${V}t82D5|ScV)cm0SUXSB2xUy-ko^(8Viy2R*JMHaOv{#-J}>4h9Py%w-+=4c zy(bji_NP@H0))Y-Rn8%RwuLdA|t$ij9K8!{u4k- zBF4q-UtsrAl_%@+PwmMLAvhptKo^|ePKV_RBrCPq>Qx8p$N|Gxv-oYT@i2k)zZGug zQg+MAM}W!Ge~XE-WRJ24l!41}Sy63)4WRsjFGGL1^%hgi76(hRxIYNkGP;4e7yfdu z|K(O)OiH zYtwaXF8DJ1H>K2W?6Ps)Kn{4lwvys#&B)1}dXN<*{EvHD6%5zHn@WI zx{E;OtK2N?+?pg=ACIyaMR>@!%gXzK;7?NEx^PfQJIGvwN0Z;S!WG;&@wajHZ=>2` zb(2x(x@#hJ&_Ufutfl-<{?fM_9)ANVA$r zvPj&3`XWWX$wijeG zb|cR6b_E*#%E}_=(d@7};^98!;m*ysTRASbX(CxgFFX%#(XoRvs=i?7TjlYiBLE@%P5h5d8ScZD>8~n)a_V{+Si0v-Mxw zcS2$kHXiObl||2TtAD?p?f=>G0iQ8}+Bb`?Lpa>?Z8q(*e_h=IfZEs+U=lvyB2m`w zEYHPh?(4n^!IQErijUNGcyvn_1Vcp)=1Imubx9Ng@Lc;*I5NBZ>WjS2Wx#kvu zFdecS__)_>tdGDvYlr2BbaKF3hb%18tgUd+vn$Y98F(&Wvvs!bUv8sUHWMo}DB_CJ z{`lOsF$X3%OKktVYVNn1?6-Q}weB#?5!uInk9-!ta*&Lj(v`c8o_zc{qHX^aZlb1I z^o-a|#guJzm8;K$eWI(kt87#cBT12$8ZXkt5&qzOt$TB1V290b)kkhb$Y_h6KQdqg zM@%^+>&MS${;+2nynRvlgYE7Udd)_8pmWmG2QO_d8C7aKQ@nGj?CGIM2J5p zx8>T9jrs@6BABJIpa&IHh}yh@Yl&$QT$YWhhetPZ#V)ZRPPcSs7Q7&z)7+6sWk-eY z`giJ$E+dX^>6=`JNCK*RN2ZB#Kf*EvIkgWJ{)rn$U$=bDuM^AQlOTXo)TR9wI0bSk zI`TrRih!IQ#G{ttI;a;+NA{2Bxp+o$t!+kd^By{X|X_whBmqYsAkqU(doW<%vE zyXG7+&D2Q|N_h<|M+6AVqD=IPuTQkfzSG(G+5rT2sscQ_76D3 z_A?AffVy){oPT<&Ln@K~lq-AWq$4TF*JH`E5}+OlQ!K^3E_dD_RL)EUx+fhB%POL$ zD8&AA_&M@|868yN?|*~BH?J^g9*$C(M;Q+;ZIoSlkWM{6?Av0-4nI6Ma1u@K)ukXi zj>4A_J`TQa<9|&iRoZ!Oz#Vqs?&gS7w&|E^>tlb7?z0PfZ9zC`>$?;@(V)kzX>~2q z-o80^SVMVyhvBx`^iAMkYo;+f}X z!c{|&#_#IXX})2zv?(XO<=gvxiQh8NGz6POEdW-5QFoi#-?cbixhoxek#dWktWf=v z-%GKmsL1TF^5J%=?wD2WRp#B*vaLW@V|dlCB@2&!-Y`e8;U&ldT|&7($m^^?paE>&P*B8Ln{WnQ2iIt#Bu^^)l)} zrz@7ApmfPSYU4nMiXfK(sPDVlAx=`@)dBoyH%;Q|1pEL+D@;WVKAyK{S`3KO38cUO z;yrqS@eK@c+-Z`h4CuB@Iv2_c{i+#}5e<+PVC7%2NEwF?`cqX$_aKU)RxLUf{%g3Iz;BH#YtwDV2Wg=wi-` zYtb2f_Pryt!TJ;GQVsXz!rTgXES|WcyUiN(p#?I?AW_i=f4Jkbuw)E43ac0#b z&IGqI`w4zC(sdjIJqtF;HAx|Gse72t{w@qPFJY`ni)N7JV20nPTOaGE56MDkF zrjM0vT8RtC<$SSzB-jOMI{dW;j?B(&2_AWuy}kKCG%Z#Yd$8eBKk=FM^HWhG|9j}a zpX>Yy+Ie#&Xy#PJNieNQmX7c&>@AF zHnG0S#j}1VHEc%SZ+AU8?IUhh-9F@`W-defg^5mwX{N&+7CMu%KVDp0oYX^<2H5$b z<8D6*yLx5D^C!4;rSF}^Y= zYsz#9%QO*Z^!oDjhlS6hxK}<`G3(1Cuqxf*vWru6bb_RGwc~!6GVoYKyu7}A&m>0@ z+=&^wr@L_{>JrU~nKJzIk0)jidg@GyedoRAX@^?pblQ%ft$wStQ<-gAWAgAQCqR&8 zK2AtxE#^l_bmpMb3v6pz*d+%{>0D;Lw6N0EJsaEb^V@?EFS7X9UFjOFF_?hb?rC;@HyiblIYT8(BoOqMYfXe!jYmb(UP3 zmC8=Ka?DrB8;m$}&D+3dGTvur9JzX^=ZbnlOMbc1J@5P>lsrA8@tD}Ea$;ElM&{Iv zR2eHCqwMpfN&bh8dR-TEINT<>$!?2F;>0~`O5e|O!ldY%`l z{uNQ!#d}Q9HrX8ajrM@CMGB8#1=Kgq&tKEA)CD!#^Qj3TuMufRo6OE~B&5;)`o4Vn zTZY@_@X`I__1{mKjVBy-0ubwF8D1NK<*MDrqHKEVl>~UI1FrHmPpTqJ!`O}DJCUXRYQEf8Fbqm+0LKw#N1@Q{XLsjMbei z!m55eP0+{ZEcY5~(_DPRfY&r z^)1)JP6f$6unME?Ckb4LbUy#|McQ}KhrpfTK8WVRi{+>Hh25aH{&WnEejJA2Q4_L$ z@JC2TH6Fko`d(}7i|0@Cp?7yS)hTSEFEz3)VfBog&|4u7ec4inO>V2-ZUy65@)_f} zD#%g?`pw1K7=r?tSQfrFJy8@w+0@`a6u}n3XGIPf7`M7db)?(c=P!tS z{1|s(>Q)e>`8C6vFdc91iFAN-VcQnA^4|Q&(6>$dcp4+V*W0(!>Q*7cyT#tZvskZ( zM;PxI9ulZUIXKtxZ4_eB!g_ZXKY-@5KEdJ4s6jhOQZi$5Ch)j@k6 zP=J{5@77)%_GO#g0CCz;;rN1n;6FIPUDD;>qrI2sx#>K-z;4$<7Z(~27@IaCkjbxx z;ZgqK8+6QodBApRe$Vh(`kkB?2(#Ebe1Sg?Y~8-2$S8PY*&%2pvz_aSBMbHWTmIIy zLsJ$JJ>Qdm5~0@m^)-78FD7JvzNbDWK=aT3$l{HZRd2Fy zp&{IfF24?hbdjd*;Rw3hyd6eqY*$l2@$0Ph25mPtu zu+)K@GzZyHa4XQx8UJ;(xA=U_Vpo(d^ECKqx$->G$akYZu+aS+HQefd@1`%+^3%=O z0vLWwrlpHIH{iZx{JX?a;5STE!s5`uV2!1E zDmSVON8N;qeSF}D7%jmnb#it`gI>dG_ls%v3#@1p+4Q6&_0 z@(Z$)h|>4QSwKKT3Kij^e&IJ!go_gzl?P(^3zysT808RSapG0D4^B)~LHZ{6t4QQd z=r{eaocIpZUQP+C=q(BKkUi;?!~j8=Wu)|_>iEH-NryV0Yw@~{p#<+{cWYWOw~4*m zqZw~XtZ$@{xw-^F(GwD7o$7IICNztDj=N+u$1}=0U$2t2b9PbAsk=Y~auWE6!K%q{ zY7KsL8NyIH$-4h5Xs1zV?67e>5}U>j^ra%`pC2rvK%F(jMY}-QV;yHrbqK zC-gMvV%Q`6Iq~gPM|k@5x$aitwf381#&rF!YSt0kv0LoPEyOd*EKR{vm-+|zn(0y0 z*Z7RvXhMCh_IXV?!=5`_-Ojnw&-Ea&FFD1tuk1M%g`~t3hgI*Y(Qo4{cAG~(iholY z8>pV8LIM>W*vT3Xm9gk6UA4oKfvyjF$7Szzn*_;kc{*Cd^FxM)0gC)GsXm7MLo^;5 zo+-fhgA41_vr@@NWpi*0TPojII&9yM$aafyE!u>Rp$ z-@ujEcrdtDwx3=S0oKIrK`YIcwg}}~UAZYxDKD8^#>5(9+CJ0JgGn4(2Rd8BU(qr*7+otK&EP5mhQF#+ah#0@U{W^s@*T z2wH2@S%mhc)I;bQy(niKp!iuK#Wm5WJum0$Zz}DX*PRbn)Q_QO`@XCE4xiShW!hMO zr=RUl?f%~UUHIIl-HP3&eBggnw0!`D95j+~ENTJ2-tgTBJV9k^w)G##UBv6M%hp}kl z@i_{Q&X};T~ObWLUtk zVdxCum}#XR;n^l(e9s5CQviqxJ-G%QKby1D<=n9BWIOxo3xseUdYE3dXqbola$8{9 zZ*h+Bqj^uX#-|B8CP!o2&`k>~9!&uGvpA8rgUjVq^V-Pe^w07Qe((as#%w2nBv{Mv zZ6l2Y&rJCjRDiGu6WA9dt$~3HZedBS-l82F&lr8!co*dX$3w3RgdUt<1b_O~`~O`u z^5gr*R!Zy)v%~)hVt7+9EKf1*e6VuEEhn_NWII~epvz$BE~b#_M6(Ept|P*tvIr#Oh14=n7O;c;q_J`>mjTCmTa>7JGr^f*-(GYY;O{&J9a!(-w zV-DSkS`1iY?Y>4+1lEy_J;T&frxtc%AHovJsjkZ3P5o58ApNCLrAyKr{o{9IYAn69O`2~iU!7)0`dIq9OpM^R*pA;Zi>I5B z=n;`ykm$pZT9EDw5qsk&p$U^Mrk9O0ODPcgJT*l=E)2**W4Jdp_(RSjxYnSb zK&a;U*>Mry^NonVBKv+zx%C&*3@0Q05q|Ugz~y5h5LFB7l~y%9BHeS9Zs5DzJI!0_VJX!yPYs&MJ=ALqfi{J#~xJhjrrstq^A zQhhC&cRtn0V2D*6ZsHP8Q=50LhMz62J8HeNN+_un{4D|p4R~}jD5Cnh?Q9ToPYuip z+$M3CEbSJYc{s{NsDJv_0tKfA8wggEvw^U;0)03;EqbmQoa6ii38XSFAr(*n@aIri zsib3%#z)#~YWFWv?|*24sw@Ub^(p0go`Qgs`bMdfNhPf;TQa^LREt#m#8E}C2rDTL z1$Q=N*CgDljCjnWBYkq?w3t{n`_qa?hm6vLm7u@Y+~u@rRNe>Uy54N_-toJKDu!Lp z{&AtG3!|pqalXwDo_$QNo$ofF*UEW2Xo}yYDB*0~9|YNt?IoEdvRvbxS-NMaj_azS z_u+`^zVPdCY@WlO{guK|7jF(h+TVKh4-g#_={srVaM#6{FXDJMHGTT@k5H6_qL}8% zWIP@9H|I$%(x5a5s^dIVi!yrWJW{71o2Q*Hq#D|a^}1et$KHRNh&Ag=mp5`K<=4ip4h>Ac?93yG*sm{6Ux(DrP)L;oki=Q?nM(w%c(e25mPn;R@ zdre8mIgj9jXbqNsFnwoo+^5cI<_jg>&D<@-bB$8eB-eJo;G0Kr{9-O>k4)Q53fm=k zc+(DOFW7wyH;brYc7KR7jcDisE${QUD+~vmsk(v}KYDI(ZRYOpg09=Fcs!Nu_SOp5 zp7W(#d$`$5S8GC|PK|!b8?-OAIuoMK#TLwBJ0io05}|L$hJQ01_~R2(w4>hQ9*3;D zQqv4Z-Y%iEIbGeFn;It{kpCzB#qbbUc6J_=hNsk#<#k|c@r0jrP-=%E_BzND6PQ3) zVz-<-XwDYGp@NaWiYvfuNGU+`3V7|npJju2Du#!?p7%&x{B)ETCj82J6{V-#usutz zcU$U_h&_L#y7P)4;YPzsu7vUcD0=V+E)>N}KjUHG|I5$~P-&FinuSM2OH_5@mZ)RH zOQ%p&VIT=(lW;aN@D9awD)(^yLL#9;%YJ5)PT|r~YUuq5FWo=A^`I@|`)IoVNHHa} z`>pV{hV8b7>2Aa*(vAM2fYyh;StHn>2Jdgp8sj10#+v8_Z_c;gw1h^H#hSPWZ+5lb zcuPtq$|mGdJutI&WhSs|e`er8xlpn?%teqXk*%YWQ2iMB^<7bgtWuSE_QcbMhSe{p zR?UrFp+Sw(xO(zM)GhZ>W^2|8b)6*k-erf!GQhZfBN;z(oTkr+6vO(2@hnc>;3HmZ zx?O8JtzI+qxcpF~cu0mS5QcOUTXRzKm&#PDlvP#0ZvTa5`P^6)gD~HN%{SXk(>)iZ#F=N=TjS=A+6GWigJsOSoy-h>P zTQ&I87rgXJd;)E2f@ge!^2Any?EF@}qE=3cM2g7qN<4x^8-hg|220tks}+QySdyVz zR5bgqe!*Lov0EU7p?-OT^_=`xU{Ncrgeqm^_zc2OF3HfT-UIOl*$!PxI!#j^?OUND z=jzbgYT}A=tRwD^%6}~=sXc3h8^c)4HZLpP#%#(BA6HB)o?oXY0>@4oWtobPgD+Op?=babz}iLqZ9f7^O5K^1ck zwgbBL%py7a`U9c{cS!+m0T#~m@dG{BIFaLGeDhs{4w34QmeN~S)u8tDOhD?njbBYI z^qqc9ZPYy${yP)MGeB=cig{zVJ%Z@*oa3^`*7h9ylxDo_HeNIK{_ZXGzFAhm6qk2> zcGj`q?UyvKawO%97}<|hPeUyR5Kjrt|AWMXWFK;%@#TXe@`sYts9Zre*Rn*tWxZTm zax${N65D|pR2oc;QQRwyDjNO_lFYa&U*?Hua?dRZ-D^s2ksqe5Hqw2j+p_%}-6_uf z?{j!`FNJ<=f&GmI+BXO$$OtpL7t7)p|JrD!Kn9`+Dr|$Z+ z;^jIdn!tF{vykdt@M?ZF=groi?sdMioDr8sE&4@B-hzdbnJDdAmAoxPFj25U^a!Xq zB;m~XW}=ew!5A;aVtAW+0`j0d3yt^h=4O!TbPk@adVus@QZYQ*y0c4@9GdjE7b=Qg*Aaa~&$Bn!zp6$y=|ek zImWX?Q%oA?Cnb@Lipd(Ne%kXh7H;@JRrCYfTW-UmH>HL-Iahs#{JgM=!@Iv0-V8UK zB4jJ_*kO5va{b>M`F#V^I=(gb=K`l&$8Ab{0)Neao2#-?k2X@Oyn*#r8nbSi0PXJ% z-xN+1?SF%98ue>brO4;?3T__x+NkM1s}BlX=Utq|x@PDycb`?N33T^bRO`5BIK5jh ziIb=9BFt3q`FyWpwWIko7_XKt7KHVvta) z3G+)$2rNHAyfo-?RGvrt6DVxO5&5N;?w1SO&_pJbdM!VpyEKUIp*n%lPxnjztJ$XN z)v9e2v1dxmKY24WNUfV=K?X5gMD;&Kv+#b?<7Sl!fS*7A&D!}9=&WBY z+l|L>&vVr`3fBKjnIrs2@;LTCEUbuXDq}>lW|BunNbQH65D`!f73B#>kZUp&ZqU9Y z#LN{ymPZT{C2ziXE^T_UyKiJsncj*j#Ld*Rn4KbAGXTXF;DquyG`ng;TNa9s zzx40Sw2G^}WI54X@$jpWQU+qdNZ&DfsST>Ur(*XAU$oj1sn-K;w1XRsmq>1^S3&ky zZ_6h)l_wr0DQgDeYxolmwIzcHGl}vpBRW6$$V?@gqC?9pMSyya`wyF_Yf!`d@}9j% zGw60$$x6}V&RVlju|U&CFJ8-B%^RS%sB?X+;b+?^T1{GYX*V}F_b}J^%QSYQ%t0}B zBW1y*`m#gQ+_saWI$htpS}%R}c-A|lVUvyNdK;aELuMTUGHtFe0RH6_S;?IW|P zX%tq6;Re-?wKs5I*(SUEUDeNStF(2tC)13+9}+TmP3hIl&wfu^Px0};Ax&%kE!H(a z;@I*BYGitL;c+3iO>bnD`fGHF-&Z-8jl7%Yo6)p0tD*ZA*Ps$)eebf8XqOtf@)Snv za>Zkgg_p~;-*q<#Ul(<~lS-MWqzp7;@44fUVkH!W@LSc%<@`W zLa4ic%whN9Y}YwTVr+9bpXNU^%clRN`PH%U=Hu7%`bM|cXz1qm>alN&-|Sjb)x&2| zNVg%KdwN)>V>w}<&``qvlIEbDR}p_hYTIB7{x_%81Uy%vEW=u>BGJf=aW*6-VNLIY zgx;W6r=y;U+c*u6dh#)9w_&Pn-_0{=#FDVMIV6TkHWUX~_ee{9m6miVq4G_-!D{+R z*)B!bmf8+vS$vlK>?T*1Zd7`<@HIC+mk$a8EM@rl`4+QX(;@ZWE^C|I$FY#BTIQtT z9p^6NEQ^w=G{W6)UYfjPAD3yLT}xe|QBm1ObjED#9Ml53pfQX#qrC$<%|gC%OxtRI ziAha9#ga@30`I&?tU@#9qEOKy8}6b}u7%ZF^Ub`4p*O-;Wm>(%IgHTfwXL4)nOedqB8a=SR)0@)|O8 z17HH&R&;;^`W+;#YCzM6Cn8xbE%by(L6~!brdpz~Dk9A?BHCi2+dLvMF7p@vu*+)< z*>uZ4*yKcF^d=d1#Bo01HMtN9E6+w^CF}5w49L+p?r)Y$??iM$;i<6@Df8A6qBz#@ zyq`1M88hw)sztG?sIjVlVpKz7G;z;O_i@fmZ}F6_Tza{B$zFmQ0yB@~VxD?M-Y6=Z z&!|XtcW`5!ls=P07P&Za=d|D&!g=93QL|%}!PCK|!zBlf2dYUM#1+l-dYUk+`Ju}Z z!t6`M^{I+D@j6jBv8uolP=6(MLbQMf(&-^_!;S}~ilbj3Z^riunuuHw=Hq%|K9JU; zdXg`}*(1BdoWuD9Vh0(+BL~I@v2Nl3Ww(b$2dTy3DV*SNhEkrLQ?PGf;Wjm>kT77- z0wu($RYGf#oi#8pkQoDUd%b#zOvqH==it&moluhj5xU_4NWbB_kpYMxc&;Gb-dGeL z1UWu|Lyigl$;cZog>OojyP z{|7!9;s2B4Tl)LA?<$TzTooMuFFKizr~WtM_pY|w9H$k7F`}R*yOoqCM6H#$@61hE zjsH-JNx!$G2Alt)D_vVwnnIMEadiC#l z+1|ePqgMda5Kz2bLxnSax^#GU}(wA5#{HfW0I<^>F!(Li{gTdnq3 zXqD&ooINlHw?9+Z#@@sT*@RBT8sNCjU_9n*$Ax|tcec{*u^XIMDonsoYgm%T(!+QD zjoutJykh+f9mRQRu0zIFy}(`;7H5;tW8L{%i`@$N~DJAA_|Yd zz>%Jxx82*85DJrJylf6x-UJCH)D3}WZ*K(nt&=;+cUjVCoW657b?5PRFN2G51N05O^ z-r8vNvnzR0{D@yx)%0!o6Yh5HEFVY*Id&q9vog$=`x{XNx&Uk`-ins?2Pcv~^J9F2 zA9Ut;S?as_Itf)O6EXIq2>YrsH6I(eGat%W9I{lat8$_%+HGZ~KdS`e9GvMM0{gui zqn+}lB#EqQja4Np4J&-yEGx&dyql7i3mEk*J8Vcmfek2zOrfU@fcEOH_MMidd&jH7 z>`h{`tbtITwesB;dKUHheKV0~nwu?qO5RPf;BmpSJye$RcHU^*;-eA79C`>qXe(%+ zc zbJ8YMMbj5wafO|WBe>z8Xc;ag84;~KGMblW9yBs#qFj*BSk_uF-&#>?rn>XAys4v< z+jTblPk5Z|fuF)xj$PtdV9x0Ljuh?8FG(eJr0^rh-%$-ddkIO+W&?E)qJpBSlB~&( z%zF)vc}dL}T#TD$!R*aGu8y`oDL6op76m{*k$a@PDIa8FmVxCUElcx6RZ#WseVHyT zqe;%+EIpYJX!-+=AQ6Oe!LP8-oz~`r#HRbgz@@*2d6pu>-Pz-Q8U0_obcWGvxm=uj zqq%fbe>id(h3Y0dOHwx)7@f=al1T{Kj89vUNHUfhJn<01{m5@9v+fz z975Y44@a$1`Dv4ZTEl8Ic2CA2ta5pQr(Rd;oRs6HGc_KqH5^C2+TusZv9v+7HcqE{ z2fZwIar5~Wd2)&qyu%GduQTcIa;||x#~-j5T>)av9fWaJI{%AgZH4+cNzKr)FAC%~ z^mdJ>zkMcqh}7?58|W6nt8-f@f7Ax|Pt6h1R&8hn`ufmVw+{9LNB@ZfCaNg=yVFr?KP=g%tLqh$nyGBsKpMHS@kx zqbN)EJR3w+=+E?{$b&D6(#IlblF7Rt(iIM0K&*Ct0^Ok%g7;rTt$GV{;&#E0vHa1GqvLx?7hF+T!dOXm0%(*@m;b|QVh4y`c5D{ z|K12W58M2cizoV>at`~2;)NXn+uUzq;i+yTBrlZeBB79&wkG3FtA&(S4_~cZoPJ!7 zSif3TKJ=C;J*8T(9fNvvAHn$#dsXMRF@b)T_lVz6U=#tpi}Z(7?4I75SBjT#oH<)? z!iA79elTGVeXDFtjHGo|qz%eWqA52@^bAnmUj*pp?KM6|Rcw$vxKv?HHT`2fhL2I$jf zk1Mk0V$f|?Z(^i78>#&vHVOi(B`ejUl{N(9%N2%l!62u5Dfe^u!TrnRl1uedPwi?k z>wy>gdUs02>e6}!N&5C(PUcyX2C})rC%#i(j%ZLH*wrtUk>&ntaL)B$cKs8pP|#~K zm{rMmMt^Xe|8%c$RbM&_Ord1u9i8#*1`q3F!G}cs;5NMr^%AGIF*jDU(B-21ltJ0r zVHl?sxH#s`UG&!OpV}WTvp%h>3}$C)SFjF>X8@0rPLcRqs{>#i^#>z@K8d!6zRAjZ z^oWZGP)*Rei){KudnUkAIKqs?M5R_3PJ0E`1}cAWuGbR4L1{qz%U&{_qDEqCadUtU z_(Fuza65~0fj;dXZeMj{R&3FTK)AoY!8=xyZGIaLs@^+x1DGSzrlN<{Iz2``ZYOQvSuNGY*dAE*jYPx698VWdNQjkZ25 zn6N0x5e4j1w_akylmC=l;fQsOtWy zNmr-W?3jm5*=_W2vCow}ZS|6kDcJ)5zad-phxQht{sTuNfcgJ-JE!pfx}EzUXtcL8 zYvM@@dlrX}R!w9jiR5NO3W_95nDXv9j3e&$0`9qu8HqP10M0B`OW7}2f73pW8KDH# ze^6ZRSF^UmLnc#%PuabYbLMxHZT|L^pUi)gki5(1{J#7C>}fCWUGMF4;PwMDwcd;( zXh^LMtulv?h2AQPO48@B-jeH8hf$wvOb{RPnc;JlnI5{IyTUvaRjl)w{#%_uZfcH3 zPz~BjXMrG3bO~zER`7s*|8t*swOI1#lZ{;`{ZWyGAdZ;8S<$D_ZhvZ~M)f})n^}&3 zd$MUb2x(<(J7gPq&i>&Zj2a$vc$)d%8JG>Hu1Qdn98jKjxHgV8pVIMA{k;QltCQh{ z8HyyWt*;eA}xK1RZ)<)W}0({ zPo#p9`>7&x$<-V_eeEuf3mS~`Y|h)AdK6-K&JYkQh#B?}IkOHHUuxe(FyX{0N_jbEi zYKd%y%QCZA`IXaBWT%Z$wWf?Tst+IEhZzYJ?>mGZJy!kDyO*(o2Wl`vkL40pL3NqH zho-E*7?TQQ)GBE>3U^g4T7M&R@eoe5YBB#k_H>1c81Wjg^J}TQogy(|+X2|}>X^ZJ zGcxj3>h6=yr{db?T9~f67zNol!b6zK_|0_Jc8g5>X_gbEJ|F@bCvjP_c)XXXZnunG8{Ud#<`mD?XVxlEUdCmZHQ`z+= zMthK$rZ#Y)LQu%NVp$V2sc!3o){ogza49(S48+o;Sh9B^qOM-Glc6O!2qQs8Oxm6x zmP|!+g&k4+A*UZ7Zfk}|zSEdYc30b7%&_KQFBlOVi(aC(Wc`%^V1P#nN>lyX;krH2OP)3r! zfCR*$&4t%_fG>yo@0!IYGxwl<07xxXNlWa@42WbhNItm#zQg6Dv-(|NPf!TKpap2KExs9X}u z*2g{XJJk_d2uG`*y4fj|EsaL0E%q%+fy~wGga%E^{(!ou6}w6T5fx3TWR|k0 zCquYL+IZE0d~np6lktr`E8S#h2_i@()Djk^?VcXAP~M-E&y{9560e*e7DV zcCk6sIy56wQb*9A5^fz^gm0lhFLE&Mprq=0&SbfJq_5B}00 z*d;-MT|p=1hN_Qt4+9hN+I#r(A9x7W10L9%rgxr?CtAW*pSY*!}$e7^)%ycG$ zZ@;dN)VUG=%tQFo-sr5=B!aa*ZTmex6BvVF;2@r%LgO2My_yNo_t3k=oTov8Y_l1r z)FM6RSi|a@!{KXO6+@Hn=abdmgecw?T$D|BU`gls2c+a$ykL@B#24ZEVFX)PNd{da z#qz|C4GfUyh(Ug%biAgk6uo`2im!AdduJ7L;S4UT@GpG0dN|jarh8sZ##BK0&rpEf z9QQ`6i*$Ws-Y9FRArEbAn_Rew`qRGd1Ql>)KaI_0#DLp)lme)>x)m-OKoWq;@}dWg zwlziyq4|;kT?6~GpA6v?Dm4%l=6m}s%FSI)`@T1k(<#wWdvw!d_}I^zHE_>uQR{D8 zcl8$rGLi6YF+z|xL=dA62pZyRGj_t>Ms!TWnhOWqkP zs2q7EjM*8r|K3alT3|W=wQ`dL41ajX7*~$@WZ#w!ur{1|fFTZB*D8C8I$t6%Cl z&Uf7yX1*zWK|BdJmm#+fcz}^f$v5O)*f$`?<4Im-j4I+#yCLplg|82re0B}bHI3wQ z=ueSEp4EX5!1Oc6U)u!=S_pp%zB6t^(F$1mhH|s|7RDTTCuL)TFSt9;NwPk!dvxep ze;tzHUi(&YShsJ<`T$(TvtG8Q>B?GdabhA!aTFGH#1n?@r<1e5Bh) z(v81-`GVgqe@7}ROh5tb(f@>D2S5z*C>1lgg_#Nj78A%Wlz%ls9hGm133Khgs+ zr^ozU$@h6N^!oVyVYAv?R~Sk2`Nvg|^<Xmp$61FjY3?&mRhWKG`xWS8jBxm6A_c zxEey;U66keIX9H?{f%$M+VIi-+s;q$Cy^s(YHRQ0NkL&~XKzQr@_!4Xt~e8@r z9AqkM+qE}gO+IW*!-qykQK?>%+s+&uYg|sxf0q}u&_`si#?X%udYj1+j;zdCk)gI) zyWH4uym~d;$kK8$qu0bP#io4Gajgb6_~PN@Um`3L-h3`HTvgu2taR`h=djFg;W9W+ z9nGlmM<4P^-v%~siFS0<@uKh!kkna(Z>te%8~N8HF)k9w!mTYf^q26Ys2GoDZ= zVLd3G8Red?YNv^z-%n-+$7Xwa$9L`Wy#TfBF^hbK)!~ttJDxeUd^X|lOOdAviR>x$ zPsgD}gm+EtYN?%87ZeJVT*E*#INgd43b~MOEYOO=<-NA zjcQYFbBw%|fFF;L-XackE4C(Mhm|YlzqS&#U8~5{vwuc*RzLBXY*H8g&rHj^ATspVbX0;N*_Z= zo7{@Ul10iSGD@yS3S08%+J&mo;KOLB15f*!qOVn(`si;YbfGsFc$i}?gZO>p$1C3GQn1^*UZmBZZF6*=lqR|Yw7*OVPbsu^o?=KAH^s_WX! zs3)y0NTqa?ZR<4pXjk)Qh?;tjGzKMC163YFQyA9D%7yObdg$A#F2;D(>Pyo_oK`D& zvi&p{zmO^3X!)r!%%{@>nu+F{G;4 z00gLL3A%Tq<-t>dm;#X$VN!=zOzCfKj`wmt|2|=TF%KniXh{&L>z&H!%yWN161&GX zK$F6KUv!5M9@dZQNWi?LB6|V5a_Uucn}|Oj|I2RZcav!yKWl%RN#DbuPy7~9+Aa_4 z4)n)w%ewM5j?$PCZCn!VT*E{$Q$D3&mOxwG`Pp4SY0FFODlb;G>25TCk44P?$VKM?s1e@fah3CKptv>vmMeY< zG`M02&}|!YH%vSgG&vyNYOS%cTj}iAu+VE6L*?Buz8whN2^@cF&gzhe_xO~JLg$u5 z5ymx&7fE!B+PKYoa;V?t6cT$a^D(MGsT4+Vb0x-|JPU~5`uGIZ;JjG721G&+y?aNs zm4!z5yV)=Wulp3#jdF$&xpx_Uai$v;+d0 z5djO7rG|+Vf?;0<1r92HEh133>3nK9@ycL_pCuBqn#+;!i0d4ODI#%Rese|2X5n2- zxb32uMJ<>pELibGKEkZlXLy2@9W7CiGp024|sBs*)CV9C&F{+y>jd zyflw>beJlMmbp6oADbXJWkv@_Gn%V3Cjmzl>brJbO>EwWjIAU4Zqv2O0vO-7#(N;| zKUXg)uBnogL?j|F+gjDQZnTNcjmR7wYGZXyYT|QiQ4WnhkjD$HTm90css2!@H4o6K zziTm8)^1)Bws$wEvG#Bfs&Z^6a?QxFT4WD1mOp-&c`UoJ`+P`emmKP4b+}SR)#^>K z5`SHOTe$+-2_ST+aNrS{xu`lxw&soM#&)i@J6>Cl1ySO9JsL=!>Y-2nOq-&~a7oKG$b~aBq8!yOHjhg7xB^}uY1qN?J}4_&DGgcBVFUT{Ol_3W)d6D-59{6$c(CfZOObRFqIc}%S#p*uwW-PShoXql z8f!i;mGw?5z!5zc1LO^rEk~onVJ^R%%xVcS4ReBAipo|!07qFPdNl5h0NH}~Vt7bB zQGPnUMFi|_eo9UG^1dy#j^og+w{-1Sp}Mf+?Hku5C3mb6-a*A`xAcy$#_kHW))0+DJ5tyc|V^R|RG!(_+^C zfuCgQvqC7!2-0D)EM$v~Dy398wQP4&C|uf&OS%m~&S{?;)zL18GE+Ynmn6x>LGInQ~P8vd2qmC3C3ZX3jYZp&qqDfvN9heWSg=w@;V_n6+CQaXwOI$dAaJ-rSIP5 z63Uetw>NH_s7Uk6+t@IQa%m1!$-dL;8i=lRgK#Yxl$>R8R z8oZdqKMNS^6SiSAW`fNDZ|N;Zj$wXN(`U;B1hwHGk)~%9!@9{d*pN_Y?SJ>hdSYwR z*tku?y*w|p#8`~zmPKTX8NodV;-c^=ANSOY`Ci@&-JNw{253J?<~d3jqp2po1*a(t zm4L12jddwHrBzBJWG4t6=isONlU(m@R)*%z>u~kKbTh2%*boy z=Q3DVyS1@3zOU1HXnlU@wLhf? z)l^C03#c07a?q5I;Gp`~9kE|lDhY#kajius6F72>!e>f~;t6LXr*>-bYKhe^d<}hJ zL6%A`zj2OzGR@@+=u}fZGC|53d^+R-?=Pfsv{dUo)w8#A46JXZ%v+B=>(}cDCW%Sd}|7J zD{5%rAWS;D&67?Z*7SM`jw0D2L9EUul-Xn9Cx5ua9;wes3i9_4a{qD1^5S`eBP7-c z^rxiV-06A3Hn4UsU>{xs*M4G*M|vT8-RQkN%b7B+sDwhs?uh0U6MI!?gcrQrK*?)r zJ*uUAa!BZveRo{KWh^t6L&lJgS#H*pfxacdR)looJv|+syx>D$6djQ()?6|Jg+)0L zR?wRws}f~_U+&*XHritCfP&2=U!&Bc zw_P(uvdC;IeWgTp;sjqVO;X$vnJ#k-R?kaAVfuF^wv^ScEHhe67D^5@MgXw_dgsnQ z%TTY*=JrQM%A`%~2*^ARJK}dS1?+(QLMngEWAPs64ToQmnxo%SqHR7Fw0JTXmO5?@ z?kJVrW@fY|jOb;WAPb+vq4}cbl7793uSmDZAa*%Mda%W9mQQn5j^|$Lu))1C^3E22 zbipC2@3W1yqyiyT)rQ!RW=aC`r#U$gIa%pVbR}xYnaYjZDqNA^Y~@#ws+zmJ5N{`1 z(iO4oa87J@aUSnTBh%*$G;FQn3Kr<(xdc#^@-7l<{qiz_ zU{+KXVU*HT;vcX*}?Y{Yl0J-#aF9NQZA)KM$U~Aw_lyh8JjK0SuM~i>`3t(!lZx= zDRv2QpDIMY?dK%J4Dss07KR`nh|Y=Gj7pZggouo1O(B@``x%O#ib;MfP59ELpnQw+ zO~gIW*GQgL$9kx%coD4dK!&*SEpa(E#!BMLX0f;~Q(C=`Q`hk0T>$9%2!oKg?Bm3{M{+ROqAZ#fJJ|WM3{n?CrQr776E_xIn1L$e|Pl8o|fcUr5nI}rzcGD zb=`eTmwy7SUE(*o@Sl@P$nymmMPi?QvOV@hTiTKgy>rzyI`_7beM%R>)fj+?{c&u+ ztg-uz?IEDf-Q&BBgSV(V#f2P2HGNRO%aw%#1v1%(%EFD3ZOn9x?zxLZ#J zV-hXS7c>C5Pw3!rB{fNMJ=Gsg`Ms?a2kuMBMB|mXBPXTgvUgro`mMIvo4@+K`WvU> zp4C)Y?sq9+)&r0OF#XOap}Uz@C52+39SqRDerlryc*cqI!ousrIY9NcL zhd5arYCjXTsCV(jRK>kcs&eaOi`t`TKdObO?ViQ^mh0m<-F*n)ULRXe8K*GhRDe7S zfJkPAH^9$4Gl0$8gU&U;Z!(4_6v2a!f^2k>iRC<3kjiTw&aFvNWLT8y)A#f#yxmbjuY8ry_nGU2Kr-d=OUf`4o4&XX-M-) z8|9VqcintMrkd4EDi5SnOi3-qsI=wS*cR$c`-&eKNhw$`WW^uno=>$0+-I}To z!KVO`tqh%h$EG= z?5>A#d{;~sr@}H}`g(+jn%==BV({hYNBtE*Wc3M(+?jI73Z#DY5ARIWP^!C6XzA;i zA=}%XJl6Lz$(Znt2vS~WpR#){VX3RIot03 zKtn7BvDWX@5lrd_+`g0QJV7L(+So{8AVJ9s)UWlq1&I3CuKb(->$Fi0L^(~p7S$ohe5Iy>F4{G$=B)~dOw*!LC)py!+ub+v z9}KvX= zr5S0`sAh&@eZ>5*JNe-^S7RntaR~Dp2_7NIc3?qOIaF9E)FPU@Jh+{POWaN8I4h0A zZeP($(rc6zzxgTou;V_cG^o^%>V8vkL(}U48{s#dE@b1b(z^OEdZS zJ{w?43i|S#KBaboyqz{+-7-i@V}kQMdwJY;yeQ(=-Yl#&f7@MdIyZNuYC3Y)X^nq` z?zAKer)fyqggmC#Y(H;0ccV5G;||tW-sZ;EyCkTde>iJWy?$;iF=hTlxJYCbhdD{q z5vOF&o6D@SGT3*!@|C&e2q@QX%AJj=B?;U5v4BAkNtG0OCjyqcv#j2zJl*pQ6B z(y$ol+j{`cv^HG#DF60rh-!>EdmAkWM`;64%s^P-rzArcv_SBq2gvNwc=C{~rDI>Z zU^aw}#LvxG(ni8gOZDifwChF@lV8o8#U+qI*>f4>39#Z_JrL*Aa^)k_%n(~3D=a|j?y`ZzY6VALvgGYYO}R%r z4eze~fIW0U_i6^sIMajsq&0IPiM>PtiS08V-6~2s>8SK~=roH;k)Ykmx2ur*Bimc8 zs(-y~$uctMY{TGBXN2E&r!D%?r`)_f?_?gvtr^M3uJvnGSjsxx~9UuSY>mGi0+ z`(;GZkPe9`4etZate$>~eN&rr}0lE8BTvhu}| z?NI(=5%1pFtwuWsIlQ`+8gqq~g{5cR-*t6|#~wcwt%XecJ8!u&YjvAy-otg9LkdoGlpcDDrgE`yVIwCHwm6hS?Ow}=zd?Cbpc_8j_w_Vv6Slgb= zYd-8u$746`#*k(1>XU~D?n9vvn~~U{Hl9<9x~Jh_klXMg`Rt|Um@D5~;jBB5PzM+b zQAsEv=tTv>?5ZF7H}Zog>vLD!zE3%MJb0{MV2Dd`n*d6!t`(|8TqmCaV(B!FRF82J>~ z(9V(2v}}ka^kD8?(CBveIUkkh) zI_+aeRL&V4UO9_)J+dzQ{shJ`yOIsVZoxc+^4_lk-_&EcA@`*$m75~1j}?lbAhxvm@JUTW+;#5MuF_vV z$ldac+CT*esrz}NFt!|1L4vOrlyj~5AEuk)X*6T`jp?@euT1w}T;BiOC;o@+PFZrt zocm*gNT$?Qvys{{3Jwm8Ay|+=!2BRB_Nh~d<(s1tKDFIqoAJKJyC}1t7GgGD_ET4W za;L9CIc+#B){w|*?H9{}W#xxaKn86jS7I%}fqb^L)yJhZpU2FJu9xSloNn$HS_(R~ ziE9o0i>EL2O(ipdC0isl==;nYu?w7o#$erfg+nLPsPz{7bIj%C&cte~I z^leR*UwPb{lx{}=+NPIkBRQqj)pShMSU17#^Dw%VypX?#FJY@s?$pFh&6nS+w(V>9 zohY3s$?vslGyqa$MAW@}G{be?b@t(JIz*v?9rg0MQqUwrK6fvnJxq;sOwCx?`EZX8 z5gw9*N*wa!S`z9;#=O-| z@+mKwaW?HtK)RxBi({VgS=IJyUs0|JtzvSH(Zk;^g^@kMUBu=AK)*r#@I;Ej>Y@;6 z@5&!mCG8?}p)7C7W{KB|UF}a$?`cK|%;TWb_i#gMiSo(;+mD0V0Jkx#U{5Yz-9z>X z$W=ow0+#)&&c$iZl&RNX0oP4Gu#1lQj}yEYuQ9ITV#5wwKPM5RQ>`RU~_YX z*@XL#kx%;A4{}TPnwSEgcW)cxcNdACqyl9!R2zrRM~M5Dpv~l#7&STvd+&M5SvvbT z;XbB%6_=HSx0H6@R9IEz#{)3sz04b6`)T(F8mXuoc;w^G(sTVjp&vZh6-L zRj8CN`ODL3N*lenjkyo)P~Cx@vS#P+6&407N{MMfGHJ5CO&wA8s`SOn!R@;SmQ6U@ zc1cOhDh(ZZIhFTjnx^J&V`7SX)rj7U8}nOm-%bAX?AELFGMl-KHd%kZ;=Zh|f^W!e zNS#3&pR&!-B%R@e}Zcf!h3e##LxZKPv|)?fJ3gp)!941CSPU4 za*od!p~f*tGPswx8V8m3{CkUi8$_MiWSX?Y0V){OBflWMB@w5oX&Ki*pK) z83|RuL<$0H>%2T7KfJfU%~-}cbWyH2!(l_c!RBpW8HA-EUF5%yOT%@&(6R6Z%q{*R ze7hE`VrOfrX>B6mc;8!{V3K{fR3QRGy|yRHc$%YX#92hGjLF`6%(JYG`pwf6fu1!-av4SNiYG5b_^@Vu=Js6hH`_^ zlnFN)&B8E&(2}FDY9!>pZa<@MVzG28(8fLa)W62P7*29T$KDH@pS#H!f9yl`?84`p ze-|Uqx2^ttd!kzqMmu6$hQu-S-Z+k9FeiXaqyl||>a+%=?vaA+7juT<562jZ)M3 zV`6G!=xJ&qWoPL^VPtRO`JX*3Bu?A+#;2&t?iHLCPgnuW8s{SL0?0J z7LlYL1-Q*^inE7-i4pVug`2QGvR2cJ}Gt*DF-M}#$qAzVTZU-;BBJX{&xs8ibKWyp}n_ zP&M@3yl9OM9o1NC2>|$k-9nakW*xubn-!-PQPDqp{#3q|kd&Og!*CXP+55hNnaukN z(O5?B&^XK&DYBZA9$zzYZ|}vBdpDr5MS>ie;s5JnV@rb8u(RlZpmRKq8E@Y~@cH-Z z#O#B9t<=MoFTMS=ESCJ1^6o#7^pDFo3aXB+{SWeRX%(T5U0E@T+UzocUSkE93wB=G zOMQI@O}_g;ky*o(06x;;!UbqZ*QzfPEwFrIXy5Ce0|%)OPBpzU0@VVIIJ7o7zWfMq zjNX>D3ps>I`zZhk`DN)|Ubxft)Tf~CIW6NffY5q@u2&`~61O12;6dgY@lZ(klM;Eq z#7?0QbSgU8=UeFm{g%W!zyIR`b{-)}H4X^@aRK$;CJD~JOp-ru@d^4}Ur4HYgOE2# zUE`*OzkEj^#`rmvOgaT8OqBFlciK?eEs3(GKn=<*w^sy%K{8@%KE`=K5tG}loa4mu z_Omq`&Nrpn5k1R9VoNV7xkJ5^Z_~D31+KC4&t~q3P%tpu2kQQtk|)FMFKM?sKREN5 zx&W_80Rj(PJvs4-WmMv*|JE#wx=-Qc>@J2%s6)wjI<~! z2mk_k>FnQ<6TDn9qx-fk#iuXRvBwedwH}P@JKhXk?qFAec3V+H9|#(Oq-}WEHu3X(%YSu z{r3gjkv0(nM-O=Eem3q08y(7QrCQ8wIbEg`sesGezHadKt$FxCmROTmrvAk5cMT0c z`8jgjJ-%HS*qgNh9GEv69OZ&Hj631IY**{Oi#R!ZPi)=$Y$IAy(ld=niBHGeczTn? zmT@oOMsLvWkKUUH-^LQ1s^7kqf|#qaZgQ+db(Sw?NVRwmbmOwkye#P)dK6+hMb5Od zM4+^&W^)<$t`l6_KWd`h^eKpmwf`K)@94zb_%+n4ZgQ~dy9Rj4yZsg@0%gAY19vH} z|5xvsw`4ePEvv8JTJUW}!)Z+;utg%)*@hL1#43Y_MWf40W;yqW$fJd!O~9a>*CSr} zusLhBOK}&&vATGKSET*9gWvteh4jREVU%~NeJ0{W*2s8$-7Y7(-ku=Zh2sV`b5itL z6zePZkB+J4roLn{kweS#Ogy>BK^GOZ(Ngn*z0`snCFOQ;%5TX|8;Yv@iDORdh=*nM zP9y*USjN!RFWHvi=!CMN>qLzOm}TfjRZ&Tbjrc+K z@yuqjKM_`?G{?dhYAOca>eK~>BE&>}q62=5$IO?B>vxq?D>6QoL_|@{7)6INMdM~i zZ>fC-OG>x{5nc0530WYmYh(I9RN&Tpcu!m*9R5YU2P2n?valDQ29P(vlPh49RgmRL zSvpi!*9(Ekkg?k7v3c>8@$D(%yo9BR6ixU!EI>Ib%4|>|3)5M0pir8TNTrLg^v;5X z4lW?eAhzKYeLRAZsv!c+1b)%QVE$=JZXSz9OY$Sbj#KSTURp1q!9_p94f2LvQ=L)K zb7FB}0r6#i*tkwxf}`#b^>qhT5vCCjKjR@rm;jVuX_=S&+)w%q%wB{tF_Q`(usx-R z2Nj(vbyJEmE@U6fyLCp?2gl~IkV=^1)R7`{gpJRSd&g?Hm}uY|(g1IS7#d9}#1>rb z)TT!SxuKs6dtdap>CFq6!^l936SRa96eyRU4d8po%nPCj+7TKx6*1Wo7%go~xxw7j zgPF7jFy44kKVa5aKZdbD2{P>Oz@eW+CY@eKoKnie9Q8w@-fWQeFwwMjQ1vEC(WE3& zPhl96I$2MYY4tnK2gAgm9U0Mz&#Myua4)&XJ27u(6Gmh0(-D!)PH019XujnRWHW6; zjGjSJ`2LQ;@VfGjyQ6tB?U;;9DfBe4P{SLE*^BnqH3s1%tfu>xN~w;$a8+}Hjy{dM zeqehwhPywFyMJJN7sjm+&8<+Ncfc2C*5aMU0`@%FQsDde8|A zWdKSnrU>QF9U6(1SsjSZO3!iv5~_+4hy|D@bkyu_$w(d{O!Tl=OrQ9hgb(zj;XI+o zn84&E{F4*Mtw#iaI1-o7S2PVJs~LO9YmJ_1zlW@nW7n-m#8nJzLch404U;|#y|6+W z(U7vJ0t)ezViPIe@1>dm>Y|jCQju?VnmU~y%}h*r+H_PVL4*RL2(hc#6Q2hKL0g(n zpL)8M@xn+ry{*}Zm+yX=W(BMAaPujkjanPs#Ia+~u{(y=Fen8&)NE)j?Bb$0s)xFe%AFV^-CeLiwQxp7zvx1g-`M`K7Y3pWeI6S7W%k3z7q+ zf5v9_>#%`eH|Yu=SDJmx{G0YGiHmA~GbwdCdhbzOq%w6jat9&yRq&b_oBX@h?#0j@ zHmV>M<(=02e z8|JLa^tYJ;ntPE{@M#T!1psGj;F&SDdh>Sq4Ec`FFHrMAGzZ!LcR#uC{Jiu@Ssd)p z()q=+Y5Vzm)XuMhWOqmd3siMz4U)rX&upkwn`1*seUa#TsbDv3DiYZt2 zAm!Xst`AjY1W*|h^@WmjNo}y`X_$+0xXP$ohWUi%wR)PVSJT=pDJw683TALvS#lCJ zZk3077JStV^@4r-6C=$ofxEK2nZRRFeE;zuo$RH#)6qy~!(Eg_^>Y)->y*IIpE z{0=pDHhL!U*t(Ng$Ud}+is?=w zJi8}+ia~OTI=LN(Oh@~kJ}-~Z5C}Rte3MyK4l4M>_jI*N>fsZ?f&|5`%tiTy+O@Wt zCMU88@nwKD=TQm;1Xk+~OSIBb1x;!OPEvh+7x+NWd5d1M_HaTy9&pVsRDxVwkXev6 zQnjE4Oi`sN&x~bqDwJ)K-uMvcVf63IxHG0Vj<9mJ=u+*g-A@E>2uNj&W?J~Vx%{Zw>NF~ zAodg?IBW_~A!P;^-{{ttW43cSgx~=3)=aH_jr`b>{M`IE008^Li)rey<(DX>XTwatlHSPAmtq z8Q-m?2A%p00s$)hoOIQAeP4uAo-y!*Lr9_1Shke6=QmRi1vaysDwzE_hUJ%g5RJxL zK{&{%G^^Cf)1p&WSXpU+>_Pi}yA9;jf>mmR`;>bt6xH)=DuQQbJ<86C!v`xX`%RG8 z8CloT*h)90*^HXWPhFQ2>vKP5DWl5LLQ^QW;MvJ@3}RQEHlx>fd_+tewY%#-BB-f? z6jruH?NSa0mugE%J~p*fT3KCS8#GqJ!%I-V!2o!T4V8q3U~IKXKuOZM}1 zzSG#~d9=1)pCt72hD<59v@a`orh`3RVkqd*eDXU(<=Hv!?cxM1++k zsi6cLd1gi<;RJHiK>@<{6>?*T`>Pg0 zJ00nf?)Oz*VLW=_d3B+Ae;FX@jgbjlR5bJ>yw;LqFdUB=O`rU`73ATGaVSRpeq$nu z#pmvChaO22ho4v!+UXx=4qJ@Iv&64fF4?Uns&)I>;Ii2EDcftRohXR*Qj7CLGS@F3 zHK!*XW!%=NL~jD+U>DMDW8frvhGf0!NROEkiL?y0*N$>N z3I*?_!@dJYV)=OB_;rMmR@Poz+c~tr;FgB2O?Lymplpw zRTzMne!Dnp=#m?=Bo5AeG00Q+ZCqV;iL~1wI>>_eq312lxcuBxLpNQ)K1E zLLCXxD2`$9)uszoETYj_{G_F*g^{H<#~jM}sf1NaZ$QkR-_QJjpZ}iugtAY(d#@u} zZElYt+AKz(udH(_^cD6G@}|LA@6=4P`c?l*tVR9^hn z)&BxWy=ma&YV2b9rpo_^BBP4;ieKI=F7swY{J-H0GyE&gzpF!a@C+OFX7t`S*Mq;q z7_*s58m_7hI{t^2K~Q^!M-g+2ng)A OSKiw-arNsTpZ*`Md2=TK literal 66137 zcmb5V1#Dh1kS-ef!%4#o4Kp{)*f29QGjkedX8Oa-%*;$}n3S?)C4_<-)DsTm&Zrg2Uxo&S5Q9&SX#bQu(Gq(KvW=@*B`6ui=&!A ziKJJX!4^wy4Nmgt9Aype8qx%>Pwv0xD|f}cgXz-i(u2rLK|$+w5SWGh?elj~kiYNX z5U?O1|AzAa84J|k5+ges8#`Nu|Gklog^``3$$vEZ|C^QnmLzOv>*VZ2N^fLs;N;Ym z<>9R|v@(*DJ|<(^59o(^!x8^QN=rmkX)qxProl)=YwPY0;%^^FBq&c~8R=C~rMga| zDq7*Dn!hUr3dD9%sGUMah^8#dXy3FQu z3L$uz{=^4C3tz*&*IH_JvC^XLsibS}GV*eTWrRG*Ea1+6shV3Jet&Js%v^FQ{H2ei zkWjX^B&t;a&%9(~xw5&=#p|c#ypeJ|e0Yv!nsmYbGR!~J1H<{b>Ga1aF za*M`p%lIW7hkI4a5Vs>slphkC4+e5?FLecFZLYb9v!}Vu zNNMf0+}zs7>hAG8qq&(bdreUv1gL9h0c*@CuIdR%)%0*6!-~G*p2xbO)siOYZsH^S zl93U?1zDLPsJVBW5OQE8IX;1V4Q7=EXW2g?;^1hZWMLr#2$WaSak*f2PLywZQ?4vm zS6Wdn$(NUqS;$ZsEhdR@E~_j1{Zfg@FIBAQ6ZmPKtYukQ<{*i1qZpp4BWtW;ncrZk zuB7P@MgLpHd?f*;u~@OJ(s$()?7h)f!=H;=!_s_kCZVjc5?(G1w!*kvUJooeOAZGI z&ZVrqd6kZ}y@<@VEF7n^-vW}lYW}ApduuvmwuO9pV{(xd4vnQUGYt47*&gVO9=aus zr9w1-Yi)luSu;FX<+N52zx;PzQZ5#2nGqGiTeZ!}GR{WI28z|mC@|zBngSo#Qk}dD zfESUygst=d!jsg(pDz3wU_!=%7gntMjpd)m7$qr#TX*>kMMUNg6yf?s@)z_P~j&t?Y&4Itjh?RsN-897JJY2uWZpHR! z!o}6TQHvbbuh|~v@{wrZ!&Qm?OoN)=bN$mqJGMq%#`$dZLE)WPlwD-WrLpNs(jVg; zMMR6c-FtXDD=R}l@Aob}^Meip8+Pi|>Y}!{_RPf8g#~3~b2Hm61ElUchPh1OR4qCK zeMlGHMspRq90RzP;3w&!n(OeQOJn0uah@w~mi3NHixJ=I%A6|Z#_IZPXLW;**F=n| z&a~T z=Ls@a0bl$23ndGDx(>|k&v0lpntoRC)Xio%b1x^_3-E1yBD0|XjavvoVgQ9#)#KkMbewafiL8SU!#2J<<0nq z*#+XBAH{v^c?OPSPw6^C-dhbuVS-nH4fr$$R!#~Fx3|pk40)|^Oec6HImU`TVZm`jZ73yqX^TIxecDlf z*19=O)TO_pCi6pmv|+%1|5854!JGexj!tLjskhb?cOzEitU7}^@z18t%A?K-N1v|m z&4(>1gFOmyrpWKewbCjb14+lEz9asTsvakJ2xdb6?SxOn$zVwjj?@3UUDGYS;FTgA zWI~I)$C*$&_>E+71&sytiEZC$M7x?=X}MNexmNI>s%Cv86}7^0ZoWbbDzPBx6X3=|SJ1kpxIPCdBOXdfyjQbh1(89#^UTRfaSgIkAlQJQ z|4uGr*1A)Y76Pok_>>6&%XGllJG;pz zcZOb_{SQ&6bmkt6`7Zy%+iIKdX4CsVN*-Uqn=Q0>Qgd3>omWB5cKW!=rEKo}gb`4pObBAR?mca|fXRLWPop--j-&J1H+$TWIX7O!SsyMjq*G%=-Uj)*oe z&8u$JD`v-)3X?i)8W)T+%G$g$cB1Su6S< zk@-hx0wqd}?5Yqchc>zBbJ4ucf_^DlFR}ius-F9obNQE>>MImoR>%FTs!sE-{JLg{ zq}{46)?75Zi{@X7=K+a-Yv7upl6Ga5v8B1d*B+AovFiL~1uFq08N$7P&qdSHcN3s$ z?$zikWT^xAV#!C))l%f5T~#`POWsU#@&9eG-TzDR9p{rH7p*4*6DrBD z_X-Vvpk!g`0v=cyr2CQqmhJNrz26xQI?!hzkZPh-wf*)&XMwcSk`MMXgNc*C-z__N zYuHXM5be_nk|bODZrWz*f=(;b2)}W0ZL>4aghKZ-8(wUQG-0&3l{y%sisz(63z{+wrtTOU#fnaxp#%ffHJ{&xdNr+3q^ z?x|xBvp|Z>X~Mi_o-D|Zml~3HA{VgO9T*`CB(xvF{F5^DsoYzxhpHt9?J#e%Us8a9w2X#=9 z6)QTHB?s@~-Dhc)Z6{Aw)*_bI8VBj+iT>XngA^OT<$Y}*wK7Tg0`OIF!cK-FE8?!R$xhl z#M8xvG)4n7cuicKxg%;5it=fv0#s3beM3k#k1^uru$ zf^E$Fl&DS}fXug@tWYc08BU>PG(eFbe*+_5`ea-|%|KA&=}a|J#C^l*kBiz*k>q&> zQJ-kQ0q?^t?OC!Vc6m%)Zhl93UztmHc@q_J3eAV)@0$AD`V!qm&n!j!*m6`_b!qCE zc?8vVaTT2Cu*4*9{P86%`9B|@vlFc9XJTY)LG>7LeH3Z5RRNkx8c`rLWE#r`!|ub@D)#@N?x$39GzhWsMC4iQiOp=g@r_+YOD3LsKicj5b;I&|y2GU9IYsiOAr?E-W0*ARnZJ ziOt!KLzSP5RApPW)7k3b*i?q2X~ ztGJ(T{+^-_?ZSwoPvva8BtFC92(?e;;t1Jmt1?0AtSNv82v_zTT?0k#3lqnqQFR|u zP5{#Vs%y>xJ+Z*@tv>?1minSHu=W%rOF!Fu!1b4~{rEub+4roFGJpH_M4o{V!r??O z<6Arm4Bh@u z4+i1rv9Q`(-P9-Uk}p+*UfYVD_4GO|X3~L#YzoC%ryS3kn(15HigV_-2(!@9b9r{| z^+TiGl{#kb=_%7S9(G_hX+t8F7kgJXGW+h9-|!8XpFR;nKLyQrDdFeQEI@iE zM7=w&@m&=oP!AgQxhihpDp>myb967Kmy`*Fe6L{;O#x162!j%-BUk=B_+*zLjS6vq z)&b2l@+yy`jA2qL1L7{R+(2+%Og*g;N-~i?xW2F>kLM&-KK`Dt6#l_~C{Mc-mTuU} zpm8`~4AHzlK5)~;WM00G`=OqO=mDg1`P&D<_JXR}b-&k3RH*7MMN5HLSf+U#D6m_E zRkpq{WN7M^te`SvCTRgO1bk65TD=N+?|0mufUFZy1M7ZchqgGZy_E#)`DuW}MF94Z zp%@P15l0#?y=nFExkQ-6-B1*>KD`4YW=EO_j+29sG@;(4d5fN^>wxy5KD`SQ+>gU~ zTV5lVvvl9?I3~zZbVTDCIE_LgFH`}@XCY<;1lK_Dl_McZR%5+>$OdmFBZRay{7D=7 zVr?T^sj#u@p(qx8M9wrXZRw)n^+Y6=SJ(0!JnloI81;$?tm7&7e+$eLN?I zDdv-jFie3yOnV4vNBEOY^u=OEK&de7-NL4SS8b(`YfB zI){zP2BU6+jNXjX0l734G*yxr`OYm zj;8T(65TI({$R2!*>iI{nPKE`U(Ke8I30oMiP!CDRy%!PYLCmtakS~TqPicNWYM>E zV0_b=EW>HwCo*kkFzwD8%Ub!X3MjXfwubU!MOtqZY@mtbKLq9E5rrR3|NR``5U|WH zCwHBWSXjw#);*dTOyl#$2wWILbtYGEoHzs%(cgq5;g@csz&%!j-^GQ2vhH+u-b_W1 zlTwI>qL@xG!<}hJEL)gLroEk)`Z4WkcRvozg(kuDq}xF6fPo%ML)y7aSLB1V_e089 zw!gmNPeRcj5=Xy$??aG3g)94^J)wrUFNJxFitxg`)0FgLi1B9Ro-k~`V@R)nMUP@Q z?!%-4Da{YHur%~8ZS+b$sQheLj*ymzXc`vTCT$c#I;i|=n1aQ)hc~H?>C`qHB@?D` z9;sp3EA7gds6B~}!$L(=TuwpmgJmN*pC**+Cl&E63hLQq7ilXr6ukBw>Fa>V_m1eZ zLiioAy!)&xGlMVcQ>Og!$nxtK_iJ36o)9AE>Kot8p9m-dy?@L3a*R}=pu zbtb=6e||KZ=8JwJm;notS0ewTSmxJIkSOlO+HGG5m;n^=qpaUKKAYdl-!dEUyFPNL zeng@3kI&^d>(6hf7&eVnlTeu`(A-#cp&xaz%kK#P%A}^Ca1n#>1C~oZ>f~15x5#N% z%@;D8WtN(eN2R_kjtj@X8^r2Jd0yPJoi8^UHuRx zDyB~({*N2Rv|ro=ZEy(dfMzVS-F!LY2R20VZCL@^CVwrH$Z&G{R}tBoi!8JKLt?ig z|BikPB^mAex#1<<)s@L9VcXxmyki&(e~ZHNb=OuVvxC;@ATLd|CfOY3C=Q<;6TuxQ zNLm(pFKUOp|0*=}XJ98oa)Whr!)-NN&i_3qnnQVa5CZN{Lj2?n{=}n2`;V{OvnwYR zt1WnR!)jaCHj?XKPm9`8-~Nh^S@(gwd}ucR;V}18tH7&m6J6|*c7M}id($A&=wHW7 z&vdJoCbOg0-w@W{tm}sVYTzhaI@7V*pBBE{gS<3qUe@2uk8SpUa_lm_^xpp*>-Aa0 z(31Yk+I_3*TQj*182!lff2*f!PH#Of$Ug0Q*G+GOi+?Nje+!}G`lll0N%z&QBwj0I zT@HRd*2bYdJd$r!bW7?hqwQeyW3l&R7{lxzZ}dyoeNDQSztc6EAH7`j5a-{yG_Ubv z_^>)uC3gGepJ^Kj_fK9A!LbPsvlAwXTaZ8ZI!0>RUtYi1*$H>!LscTTPXA1s$ehep zmEMyt8QHhn8J%{SGn&YvWgMTwP@9ZztGAfcLeydlc2yUnW}iXh`+zxec%Q*|w^$Ko**#)1U%`B; z@+(ksOW{O^+DEdeK>78Tq?_UifLceUh(y^lL(&d~BpE9}5?dKo$(7y2=GB_eM^&z< zOSwFM5QI8gs^~`fl`2`W)R`?=O#Xz}(OasBQ2qp!`XYB;h&sA>9-bN@PmwjbzO*q| zQdBvgB{x)GOl~%cU;vv}i`i4aq%oq`oGM_B3$kDcNlcDBiogzw7njMi*YN%{V(yJW zjKKw~3)k>Rf!V!!uX)UrdH%Hd;)MC>KfSDS~NZEfeZivW~eqg!OsOya@&IR@h`A{0Xo-U2Lp6m5Reni{|wOm&tWyx{}Z75&#>Bm zM%7$WFVt`~@JFt)4ag_KgNeS;713r3fTjBj&Cg>{7O@anB$5jdz&wHqWz3`DNTX^} zNv*m3zaF2*;1SI3>)?^4Pxeo6f~)LI?gp=E#G}U4m(7`v55AWvQi}H@zpr;pkZqJ_ zI0&DnKngj;43?Wf(~gBl5a_<%z0-!%N#CY6?uO>OR^2mq5IBG7Ft`g%mmb(YTCJ>cFUu;Q>Nq~G?k{1CmdnMcqm9(mdP zD#jyv$5RLl?||ngPZFAw#4&%;A0k#nxqv&#-$B%Syr(9+A))9zRDeyS*ETYM82qKm ztb7tj6pL;(7t)o1Hy)p#uzYKRp$I}uS#{%Y>KQC+acq6gL)wyiDna+cDCkVVv_L~2 z0OTLtiXI2xnMo?(SZ&3xM^#x6E09~>SWA2s%a|yq9C!rr*sLGfgwmY0g`7!HXxLUr z!e$jo21!>(Lc16`q^W++jO-OWcsNqG41;mzHVEW4jCS~W-bptcF+Hf*yqreDw%bIJ z;yTFOyqvX!e79og@za{pIud|ahdqZO6->tj9;wr7cuI+)=da!y0)ymJ-@T_^cp2XEKl8W};a<#g={}E921{KdFO!ZVxoYiDeatdy4{u#Q8D!n^%Vp-zoz`DYG$fBz z@Z5%X?R3T5hPW~6!fxcMbrh;3hIBl22(&5vhHiLuUZ7rv=w^mX=i&Iivet_jEckVK z7Fx82VGk42ENmBHyp4m+c_U6;PDNjz0fQm?mM(XecZlsF^7`yAAz-1{?N@uPByxDu zZXuio%+Q!vR9=_PTN|aL2m2BO%HLKkeB5vzY0)v5*_R+mO#|6Az4++r@D|QAQM%;; z9Gnx(c8h~VWInd>H=Epu5-%&2jlG`Ht*V9#Ml;1pbt0v-jgTBE2CeZ~7FbbwNW|rH zci%Dj)J{6QRMA@FP=eu0Lf6>M;JdMQy2iR9GLYs=5m9NK46D$XJa^lVWy}L%Ns?E6 zr!Wm(N6YKWP!~& z<$q9_FdNt_h*F-e6SjoXu4Gz$?9ebdM1&b*?pty z!`CAX$$>lPwv^14mWZq?Z_-N>XVx9an*1ZXJaNodT``9F4h%T#8H9Abc^|X<({b^; zW4UT1He)lU6O?z+Q`6uzb@}=dyGs2iD&?5J17GvuG01-7KJu3;JQM6@O)lD0Tf|w= z)1mXI%Mjk~me*Vr(XHIp^WhW?p{+u4lJwOAv5sy(hvFnl*V(0fCB5fv z)=N+n2;ljuBvm5TPbg-+b=@RELJl$z?E47;ko+)TCGig}GHiE=-N0NZw2PxiSbGB0s8QE-)U?I)-_`%zDv)-1m%-v zj*fp9B90ajgOreoH^*@iKt5#@4$y!WK|@2qUKfRAQv4z=&ylONMK@;r**mcTf!`&W z;PHbbOZ^CAtj)?Det+FXh|UewdHQ>JxS7;URh$z{QdQMRv!cenXQF+}IW1k_k1^Xd z?9({1mGbPom&!!irK#KCGihQ>y-I){*Rfx#ws7m4Yq6;VSVQ%6wE64%=HG zfl%-}8FhG-aoL;xUx(x~>U)Hm>MiMeSP;MPh*U+{c{@BG`G}vtnF{k1JkAyc=_|Tt zJ)9_s-e7k8V+rXe0(EO$iXIVwp9J6+x`}ZU2<76vzkO{{1++ddh|GI8XjpJpWME&7 z&i-hhvnFsZ&xU+O6A(S#QvD8#H4A<%2k(QEI|B~VM>v~|S@k?}=f-&bjq#cNOsJRe zn;z^l6_P#Zw7mW(0IRr{6LT8@HmV(j8ZbLDnF^NIi%U(OBN$geN(f96$$X(u}Y3TNpS*naO?g$FqLBA^tHhT11p&-DmLb8P?26IlH;dh>OY*W}|im zx{CO~OeU~qP;en~1@eICtVqNdK&~btCl_2|bsq$*zCrz7O4U)|;MoNQ_2*A4%IMk@ zy~?#v5vsL(kq`mL?admw=g6ft_-5Ud^-V%Go8@N0wd z0=Dj+Tzo%syXoz{2!&3PfNACxsheWxm%(pYVHS#l{@z?#J+M!`kgqls{)_ebTFUk~ zhZhj##3Ae5w*x<-aa~JmhZ)cF;R^<`>a+lVYR|`}XJ=>g0wx%pO<2v7W()c?2WH(c zA!YoblqnhE!B-HRB|*{GLkz+gv?iL*Kd8}gQg))qCT#JP`;64Z8f2u5+)m?QMMHK3 z19xV)szQ}1*=S>pX*G%;N@2-D$b@=vH5Mt-abgJ7@_u9a(Vn@tN9<82VeiQq6UKI6 zsu^T6@fkpIzJO)Vp{E( z@G^7RJlM$VT~PNj2{ukjX*J8XCjbuYW(>C>Q6@-_fih?kT`F&b`44vx)YzzOn@EM2 z0(6^sje7@uMiM};=Dkwj*U+AOBl579uH(tTF9-f|U;y}< zjLrW>SEV4OpBU({?MrIZnHsnGyluvQxt(N?ft#MW$g%V>pCN3qI>0N!t7+P-|+s0d)n2O|Z2cvQpik3_$7Ak4=azVsp6JEGJjw zjIzdPi7LtiSPP28Re49~6JPSCf_>GX#j!@)^0wf`iN-GFJJ_lmi$LWwq*cCRfC5cW zgAh;eAQCzZv_nw1k#eKS;D9ub&`?&?Gb3uOqQY310l8S&@pKpyxvczLCbA2;tYRGr zi7XRSc)ppYIaP5{85>Qbl5}Rlszn~}Sfj^ZfLujrClXkLxWw1jRWFO;`Ty!+Qm5>aEa>CCwPzP_<|blu^;&gp?-!cmmuo4TN0wP z2&5woyP8liCoRbh*A_tRo^5agnS{pzebf(){sD7%kxJwT+DNnA4mkSe1=I*Q@*D7~ znu~W;?_C6K4)BHQ93%&hRKn64R^af1Gt}<+LrF!99)zj-w~iuL6^D6K8AhY+Y=^P= zy9bl3N+Xw}<(VQC;3&@bX6LLXXcE`}M=>Zfc-Y~!EGF}D$`s~x>|rc3_vrjSB0*4(RN(-c@4*${ z$tJ#U!WYUT67>=hfqLW!3l+yHQVo)XE<+eg3-Im7F)4|+p(&Dv?n93vgTAB*$D|3d zNfHe?h7o`N3D`$POAwOWM{4;c0@!Ck49^fMFN#y-9HjY<3U&(!mHyjaipa!K zJoJ||?5G+ZvjuEfve2T$OuktLOG1D{GD||SnM33~ZOR08LsmRSlpP0&&A53SGxdJd zLKYJR)|TUN7FB`5xH^Mb)=rd1-!qWqPzGBDB_#S&ZWx;{s*$0^0LHhqkIOFeE+yTm zZ3U6PUZL$8b$Bm`fa9I>@!9AbrRs*pA49YFY;@P7WsJIqItspu*~;r$X|q56Ca@C{ zk9dZ$HmW8t>moSsQ2)SdwrS451rh`V7X5z%FYNyry#C8WkaVH6D~9*$DV0n>oP$B= z`kKdP7CMXq@Zf#50eOwMKXje1d(?=Kw5lFNt$$>?deZazB-Hmf^b_=>fNKLO^#p1F zo^Z^*e}sk6 zNx)jNS*62u!)?=4wmFr0l&s?RggQ@0fIN_Pb6Gnd0cwcjOdN3q5`cuDFO3bB#MuxK zHlFtGe&kA%cTPEExbA%TNFjMtL4}*V_i=ggkn60XOjB@W`un+s!(F_qISA%~H(gJ` zqz6$0Q#s`VOT=NzLt6xR==D>#*$mg;8litTv|=%rSgxsS;jeA;)g2nj#>qeoko~!XD_!}0X#JsM?hs8%X4}~P~9yazm-}K<~ zq1d<18AuID`vHMHS$5v5_|G7Qh43@>jwype9V8Wv-MF2rpC|S0NJ-7o2=&jv&*APk#& zs$inmw8^q)v#8NX57K0t{f_q>NO^CtRAc}S3W5b6B30C`a!u=bpFrns7;m1n9oBx< zrb=;XlPaNS^TWa-M6S_dz-CB5K~^5c?IsZ>t;)0P=s;DD`40UwuTp&w|=Hl+#udHYPoIGVRE`{hPs8NGKist0Lau`5F zG_~_5d@D)5e~0uyvx_n>Y2fS^Phmz0IPSDS1}r8kPLczM)SLCXqkX2VlAaJZ;??R~ zi!;mxx3~UPYReP1BV>0y=Ke{58zO4E-k!D)C)6F<1X?VM0;nNev2m8*>&M7UVFo`s^Lc5`6v{K|8+>fBa3}UF0ySW)^y=IFyWEer zHwcI~D0fBqG$aRPT}Gl);!flKfXLd>ATmrr6QM~_b%TII;=%Mdd*sEmI7eh%7J~uN z=L8sROoK!iE&6_3^7B?`FX?4BWW;p)ed11&er`-bGofiwO2(aK(dTVwtmI>gPYEpu8n&;TZK4ijJ#8v1U0ku21eghoU^z^_jF7oA_$Z`hDLTc91XfzM zhN+o(+3F&$rj^Q|R+q|Ik*;P@orLv#ihI>!$0t8u_tB)O+oR!Xjx_M--214bbL++S zsPi+YNDUNkum`|)P-U^ivM`{nNHyS)t(*f%RBQ0ssq*9E^Im>?-&#qyK|ovbf<_j% znW&aNzbsEif1+HhFRj~rGNX)WsYwZE6~JYwja*&Bx(_SQYZ{$Dpx0^yrr!n`26#(3v50f*PAtVfk5} zb|{=OgJe9;lv}c{$8YlDQ4m*;Hz9X{i6k5()#h*KX>3Xins=RY34|Qw+6=H^`e6_n zL&`Q*qXWjREWJ>IZ?VE`eP+PIZkg_SS$fn43|RwQmKwTFi(d+ukr9Ds`@F3=K;d)I z9>j=4F(=!b_{=z(LU~Oex1!ob7r=FR$mSds=obqjUqj%YtuNJot=cSf4>9+9RmOB~;Yz)+xKsLX;X_~>doIzH1LxD9MCBS|8kT8tVhhdT=W z-`3)jvRyMt`9?!}dUVwWLXU?6feIWZ)9ZU4!|4A0myiJnjw;~^ijx_s?#)!vBOc9o zW?2f9%Rk&|z35cR{wRGbF@kJx7=G*QZT`|A!=bnDEw9do$x6cWECVkmP!Yd%I1g5$ zm>W6Ot$J5jqeh#=yK@bvi!a+y9itxJ_GfW$as&T~+FCh)X!I`s6StbwUW>&pO||Ws z{-hjt4V#2NnT4Y>8e14+2^}G}mLFj)_8dk#`p`Fe!oYrNvs5u@ihr50#RYaC#Kw$n z%NT@UU}V=FjqqrPuaB78?ZSM!)cRa)>{U78sEgX(%MHJS*-Q+6OMX5loTV`KCrhGI zTVz2Gmw{!6+z6_Hgdb4Wo5;T-0STp&n8J=O{Z)$@!Ok#wgkc0}K#i2=jV7e#&&iXh z?HQ`S9<=x35IaXX3v0*tdW+#Rd3V*G=W?~&LemwwJ>%F_g+o0-Y=J02v6IS*qk40U zK?$6tZ3^%2Ym!BY!{|Y@<5(ki4E{L zq+zwl^xnJYMtpx=xKsCCUC#UT*eJ%xbD&v)HiMKqhRYjy)QSh~0G0g=a%m@dkMQjY6lub3u%IXS zTPh~%(vrAL{V#xDho|1)bjr_aR{m%Xe(s#1R}*I$a;3=QZjYSxHo-E}_xOsC;{CMY zmrn{58%f@nrMA;Ns{33uS$z*|wg3ydfkHKdS5<>~7se0dG#3N|cMJA_xpBr&RfElL zSf^4%)9+vf>_OOrJ1CQRC{f)}#S)s_^VqM=%}hZ%3DL5jlDbM0P7zA+ zw$xIw+muvPKH-m~SIcSA7fx>%P`w)TMXGmY z4UT~8Y%*^>;&*)jxQnFri#S7(RX8uzpIa|o;fmIk4l{zEo|rcSo1E`IUV2e{>8B={ zFz$}eRkEL!n>@~y&l{xngU>%b3eh{7`hn{C4{^MVvBD8mRF7~638pU1R9nrC^rPpl zwSrG|Uc*F$(gw{fAp|M)bUpjtNY9a3YU2MUzcSh{t@*pgClnhVxhy{vx44>qmwNA@N)Dn0T4MufjV2+C_VN5v zCD!iW_6m23+j_SmUx0rb3G&kqK-6D2A42-ShjixuhIBWyKloqkQ%3azhAF`U$bCO( z>otB%NGS*@pajrvKukh1T4u_+QW)sFnxWY=Y}TvD;HSie%N?+OZg zYDpYwKPk&EB9(j=X|R$)tP1=3i?<0_wP~alMt*=*r6}D1Qsgv!$0#Z2Ms5?e0D~Z8 ziMHFB1mSI50+gt75A@2Y1K(=dBA^#XW`qCSp2_+@z)nvp{G@JzU-Mk0C8(9|;Mn)5 zF4+kM!^K4EC?R!md-A4rb+g-A^^d+ciQQkDkS}z+OPziL9!Nhu5kwlGUe3=2PIbo1 zEsdsGq4;qTRy=7Bq+l%u5p3P@w>4COWA}3?)as1jaci+P6xGwkl?EFBF5Dm%Y{Ne* z+{H(!10aPUZdD|@6Y^$2Q+Ey{bEh@q`KKsf84Y^(H%=v-JSWfgdg4Ie(6%<)V0cfs zFl&U2q&1pI-%8Cr{T4S8Tw!auvJk1g+`rzJkbJs2kjpI*EK;EcbpKB097bI-LVp(* zz~$@wGPez*%CWxB7Yv%^2@B0%j`RUL6Y^!S_pUHDy>(>A;V@d4fBJ3*)Cv$T!-+8D zM?@NZ)w1MR_Ge{ocN5R@qpjuq<32T<$jCOpRgZNdkSc9nw2kbVG=jUrx^M9;F+&-f zq4dTx!$DKa{U@kS!u`-+A;9DAM~xHOh_mhyM@N_f{hD$MOH)#5(y7f4%mEI91(=&X zFsm;sL-S`CB^mS)9g#cMVAxyPc~rK&Y-te(gXm@ic=^&irChfcHsk+i}iuC*IUJhw)B=;pED zz3LJJ@%^ZIJlYw(PO*{m!zz~}w zx-C+WnjIJXo&ns>br01Y?GkPz4(jZd@?~xD>u$SvTZnFM!zAg)o!$y{GieQxj*Q7@ zNLHD%V|?x3Fke?j?&J_JystN*5JAi-v>E6Na1>%;R*vy?t3J04+Cyd|olV!B<4$GQT&84GFh}@%@H>ikvc3a(msd8a`vCq7L4oPM-<3 znAgJ{_!Pg_Di9<_hm)l=;5m^}%4FzXkj6W~Ofv;?wbtN^-7Pj6J^PPbxgYN!4;bBR z$a(_?>;HmoV6#G5+PW(uC*Hc_YDlH`^T9?hO&Sz!87&_poV9ogisia7e&(ieHkB)o z%_YF*zB?IvDI&=G?wW>359OUOu7>D4Fzy@3Wq~w0B64+(1A7dHFDUOxO*kn3zzERN!Zj$E8(}_&dzsw z^Tbtpl;b>Z#xY*(uWgCzA^oV!tbzX6JnN5g#v@v`_7ZrKYVjQ*11~qKP5k{IrR!UR z>gchmH-j?o&QwRPewS^ZfM?ddUkLw8Ky42Brl~3{pjc%&YLDcps@u3C6XK}-^;N8 z)slfX-&p<&-_$(Z(ai8aecDW2)8nQb4`iX?NTrC7C>ueM z!NL)Az{s8e25bS*%8fE@xkR4Kl|^I3e8=}AZWDQNsxBtZW0XtZ*_svQ zt#Vt1Ys+RGv$hg^tW=1gQg2;_h@k-XWTupq3#|E916lV_Q&iHxfUqYcmQkVR9J;U| zZ(ih@>=7G=n=SfY7}Jl89}bvI#KNHn>nej{7r2#yE)EHK;>KZHgc6M9c!*@gp1(1f zg<-ZF#VWr6`DoG^1=O)exy-DG78dzD+;Ey^y)lz#g`y8@VRBW&Beck z+A;=o5s~1XhYwYz30*{CNHaG_Ia;Yn9iqE6IqO!>qnw-IKWW9XuVaj69q1EdFpn%Y zU(K6S(K#jNaA75r)v|Ix!UmzU)tFpq=OyDzC31IrYp!Wj&ErH{lJ1XN2riZep_V7d zVM@a}21_#hE|nExlZA6ElFa;3o*D-{5m2R9I}ycU6&K%X{7$3Xu2~=kv%*CuULv{n z!!jWbQx2|Pu}CmFgUtA-@q2~xYIG$w4vbcD-QNF0+FQnC5;g0hxVyW%^WyIA?(Xi+ z;O_3ui@UqKyTjlx3^2IE%;7sbImyn=y*E4OPp8uLbanse?y9xwsVeK$U$Nq-CqHYI z30Y8(MS5d2bqBHgGoLO(}AuPdx%wOGAqSU&>PBQWuj@a|`=U#0z+zr$9iQ?n8z+ zfEwL@F2P_?n-xO<{B6Kv=PW;%NS)j0FVj5 zDILds6vpt3y6pifW0+Q@`U0CMOoMt=f>j!dVVx@Df;8!Fxhmp`#Ajc-G2(;$Q-I@WZW7`l(cmdr(ATV3L0MucrJX(p z;|JxU3L|r)Ldn7+rEZgMWXj^Y27yEe*T`{I4fg^3-D7L(N~ATNvB5j z#~Ic$j;@}I6-WNRR<}hLt4RU)d?fBrW^9|?Q zaG84Q+)m>P1O&wT_&zB2tSI-+oAa;d7xL5V1lo^Fm+#=YV-Ys2x19#>K2jb(T-yut z@H-3ryj~WKm4v$kG`a&23AwIwvKc!04D%=VoI0!G`ll+#c-E7mS=gVPrPR|lYqj4w zW%UmNyP}of>SO!G_RZLP>V(m}e?jBzkw3ghX<$B@A-~D8dS*NbA!OD0nCS>7B0zf} zSiM)y+a0Nd2P6!xjYKmLmg+UjX(k^!IV`0J!cO|FZ-;o0BR9$*M?#Cif;p*-_? z^y5nShm4n>9QjFob-Z9``q(OY4*cDq{52PgUpX(gCRFrZkty5t+ob9pS$)9=l~`GT zOg&CRqY;fc-E9rBiY~HxSPoO)L#0|@xvLN8yk@4V0lOy8T&4fisjeY*`b6gSM*12e zxf?ZQBHHg{G*7yD&i~6BvP`d7On5JA7pm;?3?Y2@jMWuUPm`=bIM>*H;~%Lv9D^Qz ziZ)}7TMM3MVydn1cBC7zn3;loJvOnz98MFLj)**VdMGULNK>FY^aah|6jHQ&sW1)! zpH=S|QJXu@fOkE}p57p|m{m=Q!mRUUlF)I(EJ_y0GY(p}z#hL@-bj>)k5c0+KkM#~ zl3GtA6sif}G<}SCH7#&FH!jO2AEj8fifGCebXygr#>CSN7N!@YvOYO2r0smTKQz*} zyRxhMQPp7Vgb*vIAy|9JE994zDUH zYNyycUtM~VP`OuxPG8i88pG)*=Hc-S*7pOV?~=c-ViPt$ePI?W<{a7A_N~^ca20UG zMzpl+;`@&~AE&~P`qv4>58Dt$CFS2h?!MY>xU1}v&B*f6bx^-QXg-(00)f$+P{zdl z;`Zc?i5O!60kZb!jo2}!1KgDDxSO#OO-9*hJ78C4-$Ef8AMxe{^Ifg(-9TD>CePTP z5eStKyPFiLs=WLMW*&)+H>H)1&*R4*H~F;hj7tz-cl>p{5)J`NH;IKM62rbyF@IB< z>ao`ETc4gWz68v!(KIO?`Zy&1&1-LbSQyFYIw{`e^PV}{JOk&r%CvRHcj@OCZ62C1 z)Y#4#mwQPa`dP~{qUT@dDGuJN_@N~9j;oOgk z6kN*$g@4+(pLx6S;@QKPyzq4wVOt~NY4(&#e9}rAnZ=i`o8*S|-*m44lQ*w4uRN(MtscG|$SqnNvy?B$I2M zPPPzabC`xPTeLI|w;2Bbn>@e3)f~$({>SQ%zHk~Id}nXMKZo1k5Qj}WUPFI;G2^{w z(rXMTNG1~y&qNbT{8eYlgz8p`b2-Y+5COv)5Z$El=sV7I4E=8OpCC1NxKGaTyZ;jM zH{0lcnpa`}Z$WAR^~M#GoKA)-gtd%Gu!1lcMMegR6q5CCC>H!En>FsO{IKAy?CUG0 zwCc7sU5U%!r~YcqGL7n_jG`f|-x_swFB)w>pX~c?O24DjtMt9OjEcb5tB2Xy8~=fu zm#+jTVt?0rL6B?Nx)2^%a!Wp6J8a_OB+D($N`vr{gOE)@^0Hiqx_n%E$KQ07SLnKS zs;qOX7_&6Z>Ptn&j`L(yV@TF!zSc?&=}1+#G+7#Cs)uUI%-`*=nA-3?t{^19pNmkH zZq!&)YqF=+8vO@6mF+k<>}uBK(-Bd+CjgC}I_h!gB=B1(pE2?c?ApG8F1l^KiZ zOm=5c$%@ufNhY`#i@K1q)N7)TMJqeA(kdv?=rCML;m^lp`d1Q&8Skvv52M)Rp2_?n z>jD|hw$|k?`9%AUzbtzvTwpVR9wI-ObbvKRG$u49-Nd?6xQ#IUin!lSPY4 zY$h7Vd2?RgmqIiIYe#J%J#3ibW0K)`M#es3wdzglh#&Sa8-yB8_5XiJF{`Pv!mP2 z4;u1aCVQ*s-RX*5AlRsb3KdxnIlc@Io0@jaEo%6z60(vtQ(P7j;Mu^chJEz{VTn8g z@(-K6rfeZdxfy-DXmKh=|MowW6P}yg&&>(%o*p;Rk1Xe6r!_QeCe{V9q|8u5@l38y z`Elu-Xufvp%3rv4K@dNL68RFiNaUP75CuuH>2cY34BxT7CMM@{FWhex+N$5n;XpzriV^T&%kk4WwNTNrsj0O(rM zBpaTNuzD2{`1q1Zd@~)&mT7Z(gA>TqvX>9y4GG5T?Ei-Ly!S+s3-DR!JiXsp_Kry{ z>FHb^{yYP;RvpLITOM8unhu15n^W5^CP*P-R+{$9ls#GX25UaAYRb__)9jV`br`TldTs$+XN-A+KWt zK|Xj8^hYu}I3qgQI_LX(j-#w`sgtjZ4B5RINwdpt?NgKcl_!QvZ9%XqfxM}FxUGCv zZi@d3=sz-h9r!(Q?U*z$@8M4l1Bv|MGHdZ8xAq3&O=O*r>C(^qhftL6Q1^<(S5hr8 zWHzz+-f44y?D|4TC3bBp(+@ucUyNI6PDnvoid!qGB!K(@|tGW#jhacqhrX@GTwBDl20{8UX(T z=VgbK8oM^lS3a=O>|Qams!E%GQcD#3Lgtd~qOqk};yE6Dv4eQXu$T>BOOJ)+n)9Md zrL|%gzfGW<66!G<#!JDjZ0N*RzCcujPb=-XYWbM&M7ppa3;~@47Zj5j%Fa6N!xyiOG%fjqXt46qv4yvVy1r7&t3n{dl50a&r zMwYWg);RyHhEA3R7}delvHOX0LHfu=RtQ@KL`4^jl@5{BAvMm0Ah zrVExr=t|ne#q{ChiF0@LQEhcpi#J|golhPiPu@?0`Gjij8u}ysXe%ohrn#fng(AzS zpYMuh9nRWnCuTm$$bmm6+!hO;mPR|0)>a8KQ$joy4EbU}RYgA>u_}`YaVOS}y-kGs zu*t>RtX^Tpb*YL9$NPUN=K~R!p(zZQZBkn)FQ8<0fDOs5bT*Ohy30f|R?@^N?t>hi z8F7~o#{`D_!T40pD?GdU4n0!M_^+9(TjuDqlhcd2^BUw@;iJr+eC%y?cwcS8f^lsb=P#; z1+}(*3$5)nZzseSTw7`MJgBylKTOxaE4Aj^Yk9-_Ggi3w00~M^4f&&)L9qVU1nc?3 z_gGsSPTamR64ayFGX6Crj;TlQA)DEwUhqeVsN{;~AP z7$@Tt0ftFlUH9c7{G8E7*e87YZ<@41*-kLE*HE~*m<+thTP?3`5iP}u@jK>fec?^K z^Olb&$IsrJ59eK|GnW0Yx@2;n`=9ma;WQ{PuebQsJriuydSA9P6o29Jk~k4x6A55E zM)QYs@9+Q3Bb4~D=0ah+3a?7{ZF#3G@Om;G3^b4b4rktlK<<}jemM;AhbtVndUd~W z%2-THTTIMY6k;kSrY{amulP5r!7utAu+1-pu{fe}ttEsIK7 z7sa0`L!Dbf(A=CpGZRXn>Pj|E%4`)~GP(B;`9INA8U`mN^f$4){{NR7_y1(6aQvT? zR-m2*VZhIyiYaC6@K;m`>5S6bL(BE}jctk@?V;A&^W_G#MNjNJ*YlpPik`0XXUd=w zT{PI#hFwuHF>uo~4B?8qy(NED0{nlzP&jOx2N!-)6uQm7d>QVa`}yqu`}8_p06{v~ z2jjw;%{#`cG7&_B-SfN}6+sj|h}flVG1msKGV9OpKtQYmL#1tNNLyhvFjk8%|IT1B zYQ&cm@Rc&-MPIaDQ?iFabaOVci$iQ<8XaWB`@+|&k=RXVvp)Q!Ma+-0{K^@0sCaVo zonF2kOSAcY0-P$=5x&!tn-z=JGVyVj`Bw=<)@>)IYEq^})Jb^j^YimZ|DRn}U;JmIf&Caw&Vc1QN?rg(OL92B6y zI7}p^@eS`%u-Va=3v9GvL;ER8-qG$74G~d5Zu3V-4#|gx7~d{!Pjc*{j=G%9GO0h|G0jLoDNtTU)j#(nh>(70nhTFi=g@KOd^ z10>GeTf&VZ_3O&2F*?T#FV7PGw8MRKE({lAB@%AXDRb&&no4~=H14xfQC^D16CO3< zp+lmYb8QDZ(bJPM2r%|D>;xrnpK2yyCe5QW8(l7VFG(kX&&s2P80rgt*yxGjRzuf-+Kv$NFKl5B5LJyDR2*-a!@A3_4MYZz6dx ztspozS{*cw>|T8#xDHT*4WyP7&b%f9i^nn`j z)T4jxuFZ~~NRzy&lf1QV7t)R0NG?WLL3G#jE9Anqc+hL8qnAS6o^Li~C!(o&2QbH- zyQmzLn}@naABNLlh06Xj(Si=Z7@5~3n!COq67%-jTS{MofAGnN&gw6k!5Sz!q_ouP z=*{5>rncp4oC4+9bQi5tOC$GgG>KfJMYzmJ=MV@5=?y8AxCI!Vo(0Tn8s_Iu{Lp(6 zFPy$+KHj~tJGtyXkGq5nP2Ka7JL3`aJlaDi!J5Z`?XdS?5HJw}qx6djK7??*7(-#F{SZmd zx5_-}3a`zFFGYI`>-`eWHn$y~M;JjOd`Pc%oZGKM5 zbYuD%GlQSt>!fvKcHa&)dUW3|;)`Ar6^T(uoRo9=2Xep1Z-1=5U5cr_KL$p~=?7uH zR-+YXzz)E-ett4tF3mQ)H^|O9x`Jajx`u)`&6l|T4i{0r7~AiZAPL%xoa?&AQB{NM zOM|Pb-0>a1d+XI=XzVailhlW#f|7 zhzPyQ?(Qj)w12 zTW6bifuhYE(}6x+!`d_?%pJ|=O7ZU4x#q-l{&DU)xO=@&82ZT>=B*mA!1Vk%d}ju% z*aHU!jf2i6RX*JtxW5p%q1zw(>Rs(k>YS{ZCWb1UOL{oDRnF)Y!m%kkaRU!q zU8^#cd^B1OKwvK?s*Z5(kN}q7l)NcCYc3{r;_PDa ze}6Yh%y;k@!#G;M`BiCG;ji?N$4(-dZaH6eKZB(TPMct;+a4}kHvJ2ONyl=t4Pz`b z6X8r|3ZiT_bL558J`h*Ep(FSK%)o+S%V4rur)BDrgCljwMfDnuSWK?u)0C z&XPSfg|L{YdNE<*8?;DSbiNoy#8kFy7K-6pkMKA~Cs1WBr)v*WuJ#v@;8>19(3+*0 z)muiKE?JQl<*p+`N(p;9MG2{jrZr{i-}IPr5-uYv)c#0sc5#i7o+OVgORG<)m!dbk zw95kLtTfhHg_<`?AaSKp5o##3E*E`aK}jj@1e?n*4=1}SI*pxMkXMkQ=we@G}9oyd9@ z$a%~?{53TCuP&%`I?^Rg9$LtfmfKWp=qLNwPpn32%B(AkU(ZmtRDN>qez9}U;}-!1 z(z&1fNw2t{Di+U?wI60BzZ0WX0Uhdte~q_iWS7lQ>EaJVraFnn{llpSC}VQ=v5?r_ z90Y6L>iDNt6D9kI-%6^8cb)=P8_NUamp+=-b`w#3g%VhwN~arMj$zRI&Di~IrqY5F z(PgF5j3fKf?(_e9_lVlQ~7XD16F(@kP$ws#<)0gmsmio}D zCKbvxJye4qTPf+w!14k3R6h`jd4j6I{syZHS=x*0ZdZo{%ZthSGI*qrI0WY@m7#m3 zB{meY0F6hgg9%&Cn2R>Y)O5;gDeMel#9@mX?A=txYokMXTqND#{#Y@l>i7E}P5%<6 z%2r5Zg#YL~NSRG(o;~YA^}Osg8FM2Us5(=>+PGJbK?48bUt}INdLmydT^f;K2}R*# zC}{F)bG=&vGvE1VtN4#;9|<||a^&9~oWTj{R8CWdBdi`}w3L+a@VYgx!>xJgK2Nb? z$C-(Aw2D~eVPi4~eFAe@>3ojjA`WN5KI>tWhiB|I6Cg#z>1T3!+)QOfsWNhgOYGcE zYHPxrWkB`;$L+lDqP|&R!c3Z{eQi-Ths(v3%ZWw<;CPke$wEW`+h8d{ojKL;bvJ9=XRYWImj0QBW%8@R! zp!~{WwJmWD*E`cv&^03Z#SFIRv?$m9sE4kvHE)F=Ywpb(TBDARq6NG~0DFi!hL6axobJxg3 zMMW891&qF_f&^^~ReB0gK4#=uQVe7zWkS|$#dJ!ygqlT#mV4xO0Y?(X`mc5DjSQpC+>cQP&m8nrqd^d&=}5H zp$uAShKkX#&_p6`Cl6E#qD8Hfj#Xw;wdLs;-Wk!h9Xco}E`V8Ri(AN2xlO2D2WVhO ziquevM|$y8Ra5hALf1rjoDFW;27BQ%qx${iMqp1bXUC7fgK;91D{aq5PaY!^3$k<- z<`MxK?(pke!D!CCQc486QBSbhl>GI`>R;SZswe1{3i^Ci9&86OhXH9wdsN7=j&(*S z^fJUL9Zc&t)`B)3E>hs9a%z|OR7bChgI^4_`I_v8x5!H6YHVyI|6)Z~UgLDq?J7sd zf=i`3gnwp00>+K?Wq)iMmlcS?=1wOn&}JFQ+zX^06&vQQwUTwz`zPe(3R3hU9kH^w zICu7i$ss-J@l^E%oF%hSL&R<<$z@K+!(pwlrk}P9E)a51ENn2IWFk}(U@4kS!}iU~ zR!pGypZHhhtSYz55T&wnXDfoezmh@{BKpe!Hn&6n zC8;$}9dJlw!qIUe3`eyRihr@@{>Emcm5B|%@bT|*M80j(0~2hotp#S&7BIa_$!|4L zrsVZHQ%F*Nb^*1PIRRUc&ruV}F&PVaO_jK857Y8lXZ zvDd?LiS*Cf>Z&xBo#FhtSv2O?Wo+NmKRwEak4tbuh}Q8ZCIqWx3XKpNS7$5)X50L zvLI@16P{#baCrsk3mL1#U`#i**jwA#3?F$kol!DkA`*HyG)aO|s#OlC!G}xo(t_+! z9sb3*->G8B_QKTvsl3yqB3$JxWn890LBs7n6}OmtO~lhw$E~1IeBy%70j)9CKkvK~BEDyPTIyUxb=~ND5lU!x;w2KHWsB zB%Gaq_y&e2RxEN-Zz-Z@;IJ1Fm(t#z;XrwP&B%dL)r65U_iYZEOOXl-ZV8d`U>|NS zw=BQY&zYH`b#2Yb+PXHBeGwn~#=2fd9lNHk)($K|p8DN9KZ*_LO?P{T*tS-LpuXR)JX>sV&`P<@B-2Eld5fM@)a}d%SJrMYPpwW6+ykqP!<8!$9YZH@Xe&gw{1C0CuK2$qf^65WYwJP?R4?(;vK}~+>o3-JxABM)=$;hTg|Y~o=1;Scr5)SnLOE&^_RD{ zwXtcb_QwD0EN;ouG1hf~RIAj4#la;!w0Bz)82;LUD(!U;Fp*UrLqsJmT#Naj+fWul{S<>AAvkMXkEl>y`$B=)vI;Pn1p-7 ze#b0|ma{2%JL2r!lxv8RPxrDHf5Ovg4Fqe(TA=!_7^hm{2sLk3zqYN-1sY*@@uI*N zdicrO41{!5nAB5D%&jhtvy?*{U)(WDfnWp6gOfQJE{-3?ve@MIIK;(X1IId+U3KUZ(Myv7>`Jv`aQc#FDbJEd1>hv4lyqrA-tHSft#O|o`H;lf`Omkh%djgWb>|sKpRn_;;qQP ze-Ks#ag-Q2YXYHuRc{oJR#*9{hrElx#c5Lx{L2FhnH!$>X_mSIwa`Arq7z5!!;+J6 z=AxFo`5hGfXk9yT_j*hlX=qf}6u9HK;AC}iY@O5LZv9OeriJPJ3b%6}mvg)?^kWd+*U`6~<6|Pqx*+7}}z<}9;5RDL?R3WiPhrtIkkpd+!eR5?k@v~p{iEu9{ zb?;Xo*+-f5!id_0Ad3(lRUwfriDvd7^PQz4Hz;iX*JaLGZCy!Gg00c`GAOR{A%u!xNJhcY%(EzzD1UACC~eU6(v?-u7?z_{!q z349wibCCUmSo>ui7qnil4mT73Uw2 z#eg@sS1PU01dFeebdv@7*3K}=)l9O_3V-jQljLMB(r<=!a$IT0bw|drL*;lP-fyOO zvg1m&KN;;mkvG}#vG894vwEh{?f0+6K9v)VVxLuntQ{g62NummJ}HV(giJC-oB*A| z6d#UEQuJFQ``;3pI6*3jsa!}@G6d=bMU*gbOV{5_c5O#I-aU%DTx^t+Mm!E8iknJ! zGy?>c9F!~p7Nv<&cvLzl$_z~0K2&rx%4d}IOhs?DRC2a7fi-d4l!(KW$Ro~Y73-lA z^`X*iwzNG@}s6@S}Gy}rhCo{2-864nTfaw9@5`hIV3Z&lNtQNxe)!nX}mNxuVnZC7#8N-?6HGy zeCEDLWjjiMjb6Q@$Gc+{xjb~flVo#;v(>n@UsA@`@9MuvZslwI2%A{gi zq)C}0kCEd~qua=)KF!b=C6{%1n~$g@W3g`~17c9N!o1BNggKK%15$f17i@ngB&lFv z8hZqLubzr=y{k!cUZVX9&S^ikP?v5+OpwzP2S(}+(JC=$co;)t7Ms>GF*12Tk_k*h zAbkVnHSiI)sn8wFj+ZrQmXFnSu*@rx5>7|S@v|wGyQ*tpn$@EBK0D3bBzUW*-$gv} zn)C=V?WKVgmCfWL&oE?qXqgOQ?eggYVCHgBSGAqy4-(z_=>kONa?$n{{LPR_5Dz>Y z2PAru=GYUI@LPRpY9sK?E7A5E`prp{>m8+p`#b(eWzUnmCs`atOiXslGU zaJb433J)){!5lRsD)@R$rl^2{VkjQQe7O1wZo^7yFjQp%xr`>-oud{C2`me9C#;A7 zk)DCMAkN$NAz`Ej<{pngZMoA+%@Z>8hQREjX0j03K08;d33tZ8>=PzB(;%451xQEz zraJYAxbVQoh|V~>*Uif02$J3jY0p^C@a)B(?v516?-;C=nh8GBMpt&dD)Df@1^Xd1 zSoJF#Rj8tZIO!MoW2iH23qJaxy>@^1(8@t%+ zB4w2f@KP&B`jFVmc8r{ z2SMpvtyJ%K4#0*x;HKi=h;W9>D>0ntzJrpqM6Jya2LwlQQ+!hnLPiHZh@yas}W zqGdXJ-oCxifL z4YC}Xm4D?%LmB$kCU z3~lMIFYo?bLLzH!=2;R|hj^EfCrgF!>YX`f&M`D#H6seos%<(2q|QS4FQ$bsmz|pR z=(PNSfz%MO3_`X?2BNg(iB6T%c25r>n1%?lN8#4yRj*6#%t3MI;7k_soBM`7C2I6(m#@(ZEu-}+-%ybR&W|)og)8F_6J<{oV@H&w!RQ#g{o}EHv#Pg z5@lp?PORCbnpRKhm>@)KOfW4Wa?|mibY*XutP))QHigqcBj5Rg83V?0_$8tmt z;u5_Rg-`d)W&t|7Onl^ajZQ2*@6LkA1T!O_?CQ$qCVaRHa&(*R;4Qa@g>S_?vN(gV zJM#xl6u_34oruT?CKkJw6bbsa404pO0&>8gts@Q603lEVCf(Rv-Q#r(kp~?lr3Y#U z2Xd=hj)$*{9AUc)at?aX4TAO`ynl8y>R_($!Wl>kOARV~^AxvY?^_VpOpatV|Dc1V zZeunl%gT2RF!6yWRMG+}cv1Z1ELO;~w6dEz2&M7-U&%9TzG9c3?+P-y2_5}#vo2>Q z>g4oqej%d-3!ku(sbg}oSd3Ln*@8ckXY<6V4^dGanaVAm-t(w4#ki%E?t-J$@()G# zO8{;Ro1y*rus`@_l&B$-;ubCfcmv(`IQ_o}&Ny#WBA01Y+=6*deselsWxDKf;be?p zlu$)PrczE9+~Drm{nmBSFlNeF6927Gd&A6vAe!5(lpH6%_nZdE1Z2KdDrL=BwBk8= zm1Wa2vKazC;bx8QetKGLix!JUlJ-R6CJM$FjeO2U&Rf=NB#-g#TuR%1cctTOZ?hk1 z(J~$=*g7@y1O!H&s1j-;RSbt@v?sXYoH->eNpK%<0lpU{ zW2x_S@J4bW?oZIQj_C(Sa}#<9n!Or(w>~2kerYTuA`SXqh@~QL3Yao^T`#Y+Bhg28}uFl(SErLqPb6fD+EDF z45=LGMQMy)Urvnjyb$~q3xcny549-#jd9DF{Y-592XQ3? ztz4bH_t*}t*d)IYUGhGTYQ80m1<)`*Jd4q#Ye6XZjcBX`sGA<#W+1Nx#C=7eW+3=6 zEWio!rep5iioPTyWs6PAOis)2=i&&5-pX(fjwcuy4fU<;AN{_vww|rJ+BL+oi$srB z;4Cc4;Pe-5qD8|u{+Rg%GnULSn>gXUt7Zj)S?@nR0LBO7gyZE$FS8`5ExL7uf~%mZ z8XErAykMNXg*x4vK}cIlJL=Cne_0-JHvb&=-rkKP4q^IJWn&kKCGF$OaisdAIpm&5 z4n{wJ$nqUz{5uq(WN9}4W|q%nsGt_de>Vcp*;s_>&)Xc~KZNkCT0p9EQ z#a*(OA00zR%v&D(gDeH}j!B<%ahhM~l_bM~GjcR(K}vt0nm9iPPCq+BE^&i~nOkNy zPX&G1d%(`H%H-ta=q)F&WG%oZ>Qk1&N15$F474S%*NyJTeHa^AXBJI*`y#|VU{#du z0(@5&mzWyyZ%bT#-kgXU22JpP*bD4{B;Bh^`#6G-l*wAOM*!8~f$DZ3h~u;tX2=)b z%+%g|!hZeL0{iiVt(jByd`n1dc376{V)o>vfBT6v129#PR&3}>=n^}fL;qX={1}$L ztQLQ`f*_7{M{e=i`mP`+_Sd)QqdBHYx&B4KH4t0OgOtXa%hKx8wp<`}nP?b2ps3jq z{Tr~MAvxFlumyjsaVCnJo+96m!(`pb9uyAiT4Gz@ZbDCB{;WFuBRl81sa*4OVAfE? z^+^`@n(V+tQeHwsYZ%q(kNPyE05{w&yiWk0AFt-Um-CGfm~~dDpP1&$^e-yY7famq z`=(feiF-|zMKsLq%0bYjIBV>mF)JtyxQ1o$nzOnR#DIc5Xb?T!sLWf zxO1UO$^C)!rGY8SF96?!w1BiFc;I78oFP@2k;P-R>Jf^hdJ!qzV4G-Ngc}p^lz?fG zl<~e(IFdc^0E}??6BVupG&~@E=88*pfIARvff8C7@6QH_9NI+#!to*X7GQ`z*25fT z7o_vL?w90*mMN3Q5tJ*7AG~&s6({>JMI=5#hcf0KKE_Zn8dn%TRjJOj&SZR%GR4Qb~&M(x3Q!6 zf)RxqHA;q1u+40UjJZzo2b;A{@MQ%`hMEQ=+_n3#8&m`r@(5onOFp9L1GdPQzN!%N zNL^)87rgYBC?Zq2fqdH4D1U5G0PJCx`teZf4D13XoKp0xfrR(p>IaZ(yx|9~v30cU zo`hTdh8?i&eb@z|pbGbK(d?e&+ubm$l*On?Bmv3_Uf;-652pjXp+@UVLjaTBD@2hx&r6F3=5lNNSiNwE_cV~{#`)QHs}tUO zCcSfOK9vMcQXb5!2h9#dxOoH)|Q%+Y^Kim zD3oKy=yLk8%eCv(?nnAGrpa%TlZYjK&__(lTr(sl6nZnb$jk(W!p-dzpj@xcpFaBF z4r1Zi!>T4k7Sf>M?je2VBSFjD*%-Vm0a!pSgDp}=Dq2F46^mGIoc)MiHpk{v?$$m_ zjamjRayJHvzbIG#;TJ_yvqIFCeJ-dqhNQVkt`g8=z3c-ICWW zc)AQA6jMn6PuBu&ZO@f{!pKtsAbUWMo+hwjQtpyJqee+LQO?E|-rBRA+rFIQhQ*?F z6X+L~Y>}ywmi(u3Huh=GU%GboNc!O$R1aa#l=()}qyxt}17@8Us^CzLgl+W^QpQPs zX!YIs$AM>so%C>qGJsP^N-`F96Am{$b(tS`jK!dgV@NM`c__>iqbX0?H&NuxkA$#0x-X z{oE_noIRh#>1sWLzSIKf;MT!8nM(<*`yN}MEh#6nli}##1IUrv=Iw49ihmVdZm-kB z=RPwy$|5gTqws%^!1!z3+cPSw?s}>nEfgVyq=a$nDu?U`2!;l}qQ8ExpMHzu{t!m^ zt0P&MvP=tjk4aNZUE%^lxRJp!m(#><0|GOeuNDd!OK)U8x&ZIdY4ee>t=(5-57=n^ zvF4BTHhZ*c7_P9f$odwE2@`ryUyIhlLg}1vDYP3Lic>QM9j&|M#B8$JAWNv&Hj2)w(rp5727 zZ*5)OxAR$F*K`g>va_TA_C{@OnEFK{+a9pkzZyB!kG_?S|3Un>Lw<$H_q9qR-)0IT zAhCb(Bf>K+`ru*d7d@~ubQz%lu>KS!`jh2U32H5ao8qpF>Y)V zMMm3BV|iQo{rk`<^0ovRhRiBF)#{WgL}2Um<|bfobCaw#xMzctfeC)ixea?A?$X?r z4OJ)c+Lk8>-d#MF?VHESn9(UL)%xoogiSQdQ)6lvV6jcfPJJgT2cj^z!eqBGlB7Qe zAd(qT`K|a{ykQ6B#D0q z=DaYNts0Vdn{m-ZdXgOW{G!P?e$P6pM*PXL_#MxeU2!SfgBNij#fh zU;}|f7oYDEKPUdJz?tLkt$~ugHx$jM0$M$4efq^hdc5!O(?OyEXj*A)!#FRW?%7z* zZ>+U7_x3g}`#QT_Qh2V#@2~nqGCRAHUkKF^Dp?QA8ZDKXttC2RC%Ba_$n^r*!V;47 z8dOyaB%H;DoOBNn_yZJU9C#h(&YbiIzKCC*H~(ZDT-cW-=w3v?ga2-e9K-Z(MOMdK zehZX}pNZ`}*;^2HR|!%Xg1$39=`SoW6cl3&NS#)pH9QdX=nsNvJjsl0?P`OK7nPnPp11i|+r z4|aW1Tsktv8x>NSmdZg(nhczRGiaLSLR=Irhyv=M9!h$He&O#Z1j!UAJ9z3dnkrh- zCn!65>K{rV2FVk0kxo!F5n|TnMAWc|Ff!D^8IHCPHLzG14=V_N0okISm^2s95+EoIH|T?qBpikoUfK-25-inFLvwKSPB;feIGvmF#|cn>cUhe}D%IbV=_P-* zZ>}%&?ZD7*mYlgzcYW~Flp^TNTP#X(= zjEtL4{2mQ2AYJxs5{t?OZ;+2H=uB+EJ347Ph+QBE%UBhTbPjC!3S{>T)CPxi4pLPD zgmDG@ybb2 zF>RY|Ad6?U)+Y|yv9#t-WnZ8AiXgK1PT)--zkdIh(?QZi2*5(8?T+y&3F=6c2hb z$BNdUav*izQ|(2hGeIxzL1IjnNnPpmA%O!>i@qeVp|BhKLGBJ9YsSko!)@@=fyhO= z_EGi(C{AhN48zDH_3^{%?)IG!SQYoiV!>RESEdAuvXn5#uZS<=X`g) z@ojpwu!ezm0oME|!uT%7gupve9U7AOMB(F7(A6OP9_eHBW|nYvLCAO|=tZhsZ!Q~t z8hCSlw8GHwK*&YQU2kL?e^ey}qReoeu;cx~J6RA8(}WM$iA(3}ZOsjaSf{~XwEv5* zw+wEh3D$)ZGegXr#IYSSGsVoz%*<@d3^GH^%rP@FgJNc8D`sZ4KfUMtxVP%7x>egd znx3b7TD#Kr?Cwl=CC*k75UKx_gQ*AWmt5MDQ2#5bE*($l;S7c;F-sqg~ z(K!>#EioZ5g(V1u7RZa22s4+-bdB-i`21q{{5nox@SiJhtz~gS|B&HaC`)AfALxTa z24*{!MM}a_ouaUcM5GM2ByDRPi|iH%Uu-txE2b}TRCg&N5@EEshbo|N75E2L9(Eq5kubu>W~TWQd3Sg=eYh7|1XWajJTy{{a>M1Lpq+6#fqg{2w6u ze?aU18wgB_UTt?rD7yyGdtERO+%sf9ckdX-RHW2$pG_}m$Thi1m!=uCNbHN zKB|BMgNHfAV52Bdq&K_1KAYc}6JStGzaUT}?+QgN^%UUg!D&pXXsjeK?}406lIq6L}7T?m)Zk@*u{vMQsz%l(>X{P{I6EnqTb5js#q zf1L5Rb&|#lL1~aK2xXEgG8?{r~%P!r+%~>NCpSa2{c^j?ba2ET&`pI%0rrF>2yQ)CuC{@AEP4E=! zbTVzMw)oW>x66TCJMfA(d^5q!$i=*_vK|1V)wveG@)pD6S?065epijH{Y0F(4W43O z{j_^f%+cni;i_eyYi{h3AEPY%GlzR7T^i{$Gyfs*D8D-<8)t5Sr&ywsiJy?O7~~yn z)c`w(#Zyex#l*kRQg>2o2+#)IM&lLx-`ky5rlB3D<=pk(>TczDWaG+y%rf&|0FQv( zF&iAn#dvPAj9x~7HU|@np<4%%Ia>WR{j;?j`wrMS8=m5&E~d8!7j@_Hg!jy_UnObjMQf0;$VQc(jMu`Uq5z)E>QsV)7$Z6|Ge3 zkgS8SN=L4OGggTdYqWmJ%?Xk0z?T1UD0~Q|02BdkM>`8RyBsu*HyaHz@=Y?1fL7|S zeY6*-r7iS*nT7BU)f$&H&+bo`BvFMlZW0qW&hxJVlh+>fvD$gO*7>Q0xI^gHQVze~ zmY}T5(5%z(O80y_B;UI!0JOJt(6UkN!5qlx7EY)G!`#<2( zprB(RrCUPm(Ug9g{Q26lnl@8*fe8;pqm}=*h~}{?$sB=x+T`gPFqoGvZE}`5`wU3^ z9s^lP!PPn!bfil%=LKoL09$R4jty=@`5HUsFAZ)Nbd#`k(8acEdd$l+O1u@tm1KC+ z$F_@l%opj$wpYeoV4v3qwClpV0r5&{U)-%7TPOe;M>8)K8n@Nw;HFYvTnW8G-eU&{ zxY+@(_(6xb$RVM)lS4}7${|4jMMlYgiTP~+c@EY8pYnVRPjM#XYO>w}c?F`yv{7Kv z4zb?O|L}VG43KbfFMZAX{&%mA*G=N=%2{=Ztevk}!((0#qWT40k94ghc=ZDII7dLA z({l^zSf6CxS3mFk!bEZLt`3@~6u$Ep|1v1A@&xj@JCF1p>h3RDTgFwX-!=cf+cp2Y zM78;$&M0*a{hsQp&Zu{j^JN!oVs}y2^{TS`8|!-r;QnE=I4(bD4(r(998pYZN`>*O z^k=njE-|@TzvH5E?BgxJ8OGBheUQ0TB92nscP#Z|!FeKt56A%TSWaz1`jE(;DA||l zuK9xNs9)wcdgR5q@Y}u0Md0qkgEHAh4{>5NDPlOUz_@WoLZwlQvOy{&RhIKKH+cBdX;Z^EVRF}nAnZm zpv!nknceuFbhA$PR-jc7Zyr&sCc}2!$o6+exjTv?V}|~!okFQ$&|7=dwGrE?;m1*m z>4vi4M8c^RHE2dTN#42n-x7V%VG5jOit=g7p=x4Lcwvz+r%bS`jEJku0Go^mA3YA2 zHcdeouMT0pj`R|}nPtjshZdn-z3M+ptNP3$=Jq1njaknL<>rziV+k3R^-fNq7B;CC z>{&Xw>)TCJ2y5dRdy!tuRR%VrDBL2qm`gijf3ZY(W7c$IR&`?5bV@+ZtCnbd&@+h^T zQL&{_w53tDp>c3-)>%SkZi9|~iN0!{zG{)K>b9}5e`Xe?h0dT*N4rEvyGBd*CKqh9-+KYJIWb`tM zc=_q|PAtntCua3GW(788`7?@qb5aD{>qWO@wo0@!s;^Nu_^Z!g=;)!a!K+8u9OAmrQQzOpQ&l)lQwQYln)(x zhvN|3W_n!bMs*sCxvbuB>^mZ4XyZdJ{hvArh6SBD2QJLNxU;@96#L^Pt`4Cb8HZk& zi(Bbky@c&nGnt?Q-`vArNm!{Ztc+$L2!BRN8(9H~s&;N^?|F(bjAFaS zN%0JZP&e|@7loxUiM7v}S1gGda)_?&qEZvO?D+}X&$7?MN1h?;5gXa$p8p{pC_x(CQN z#fe}}JGEC2@StVa#c`*8Y8bnUT=yD(vW{~O2yJp&(HjT4Pl?L;Zf89^Lgpy3)y82) zf96kBjXp81ZjEZQCU#+Tal>=jVECaHdtvi*W041=kea#t5pGIDc&js0qwm=AkvCmP2Ww?sCbH*cVbLuW~+CI*} z0;zKbaae88WUI$->kan?;Kb<32-xcS5b|@;xH*d1c ze;l^NGXu4K&u&$m`JzC(H+%PA?s%E)xv1)A=3&C`$`KYe zkIT1dkB0m%TY;w&V`RFo60{B5Uk(+hMe9-Sf^}jr9f@Pi^L`SS^#Ah{pkZ}0?oy#b z($iIesZKxM&q&D8Wt}*R986h;*4P}tLHwu>EI;^kO}(OCmzfRBhWSWMM8@K6c>zYq z^Y^MJoX#ee3TLzZ{-K@ciY%B-929zzT1DrxLTKM+OA=I(pl$)<-u^4DS^sY|eaisk zzDx}Sx?EcAQ1^j^;?W7LUq)rLXg(%g*!l9NEeHP5JS5figB6o>Mm*63p zq4OTghh!ox=bbrH!#E$%h|@*|zgY>^;25TRWG}=f6svmTEJSB<4sq%HC_$bx_4eUK zjzR1FMX!E+H=(LsrrE2_v?EH!P<)aUyq~bg-}X;aA(i-IKjDXe&-qmSDYCAz?L$|(wDm803r#hDBAVB8wBBm3Wfm_9GWF?j%UnTk5K3}4W z`U`womNRDQGGeL&T=lNrDFtq~6lM1qJ^j9H2a-+iuYEbi09}3|_yom4I4xG}#7JjW z1R0rpttY$49;xw3agDs5nGzw<&Zl3$jm!s2+5g#;8} zCF*y%(`R?T_GcP_7FJsq5YyZX7spCl4Skc;O_yCY}oTFipkk*c4pkuqLM44azd!9{Org z-wW@hB7Lam)h3C6g!Doy)HlcgCkA@Ay+&`mJJ|b9{gawdA6c3bwL5RBP$pBiqj4nH z?qqgM46zSpsNX*bkrLTikz;GQ)*%7oB!lqHFR|F8lEmur#kM4<%qiHw)uB>JBYd0+ z6CLZ(x{*}ApkaFNkcP`I(y!>JITd?S#xJ=buy#4nIvD)IFzMI1CjFN<^>wl0r2d? zUx^M;8Uq=WT5_{s>Q9UUd^8BY;`M%dGB6A1L}CD5P^b1cY%4$dKPn?=AjkWXS@Qju zL%4^|bDIB==ZnA=Pl(hQ7eJ|K_v;1UQox#iTHterXxFDkg+NLb_<(zY=`WXDhitlj z=PU^RpMx(y*5P(Ca^Q9x*5Ql}B4$#y*buhN2}62LyfDrkNkaU?{r@ZLc=+IUash-P z4h<;%J%j8B4mbEA=x?Dyo|!hO&28xY#0%iBKABQ;Xf;Bf6-!^&&)E@L-g|@|KT!J- zn)<_=VBvN+@I%&&FwO&nTCw1Es+bTQf`vSJQTlnm{?;+Vun!YzUEo7-Y2-j~iH1-m zgt8#i385ee6+kEfLIQRe`(GM`(h{45(qwM&L$2aFcu@OwmG1=@hU_rx&x|nkpHH+e zgr$(yRyx|Y?d@B)ayN!zZmH5IOTn+;Xx2y~Gb`q*2gkyZ*z9?l&{9LXtl}40`{?#u zP(Rc1;BUL0WEKYtzO^zDuK_VJgktEYuAv$=g(}Gh;`0tbws$WR%&Q z7DOgb>(?+Q&z8ykRGY#4a>~|_&Wc_^&@eZ7G-A~y|Eb56#l=rS{-lc_cVt}5oYkMZ zQeK8By=~lfe{{fFoFlz$)pmb%z?yQ#)I4=%95rk~RxmL=bHd!7S9a->G3AKqK3YS1 z^?>!T1B*+`O|Ms+e*4y(6*?eIiX+`?(01P`Y?E){WD`c#{QYP>^Wb(Cxl8slBC7M_7@8jI^LEP`TGf1v1vds!YafK>n5r=HY!md1b&_<4vF_ITp4tNCrxri4_w*~q0Si(h0ihC!?yw$_H%`Jfqb`kJ1njd%tDx6C5WRH zt1v?xXI`kq{0?PvIWA{&IauBq_ni}6g$z4_;i7DxGW-I$r~GL_g1vBzLS)g9;y~_j zcQcGy4HFp{cL^0RdoTJsSbT3Xmkqh~7PGrWW3>i7vXx}3JJFqt!8hchIr$zd7!krS zPrMh^eJ(9EsLh@2W>`jVp@L1EcNb*vRZSw6RbMTENp$l5im$k);&@b8@QyKO_`z`A zAftHfcOF&#l?Xei+t7HLOm=0=*LRJ9%@7aiR9LFxcPFw`2SyJv^GlmU`S8VFcMq9W z$4A9)PlT=rxZWz_FNZF$(@-t8J#_)o58=&k=?Crq>`*%I?(EsrpOkj7T@lL_{fd|4 z-1Bhv!lJM~k3Wqn5WH*;yT@IFhoK0g_0|2((}tK`kj~Jb`M3=>QWAgrQaz~PJ=nB7 z)!lXdhM}?x%d*(u8{Gso*f*Th0we`Ab-b@l$0VZiqds57Wxrff4pxrH-{L>_(* za8j&chTC95J3}2dV!#iB9=_E#A>Ipha&=&eb?Qrl#RokQf?+tL69K`@ORy#gOyYnT z=5j$dJwy>`zvJiV`rT2y1ewYw{g;{)vC*i2vgL8_xb%k%`>2s)XidM!6KKtrA=@bJ zhlNQ~*W>|bDp>G_c%zoTV`dcDx_zt%fr^17QRyk56^ZXwBMDe74xV8@#Jy``d*$+A z-F+$>71qKb>{n1BI}iZ>yC&C#(t--i+QI!>0YLgED@UsrG0RMJcV+L#00Y{n8}1fl zp-^>yzq+C4g&MI#w9;DcGApzhd-Dv-ujl*m6PZ`YCC~oaqP+@j!&Ga!uRG}6MJYlOko!DG*Nzcc+MOr`}DF^OfSQJG*Ld9{J|q7#m% zqMS8&!1_ViVBki|3u`B-UOcD-$x%sE5wy53PrM+abZL#=%=aiK+mMHYIPFjYdM zYemVzr;{B=ez|E?o~T=!--tD9yUJxXVZ5d#ZrkIwmLk2zX(2_nKV_e^z0R<3^}_--FB z^1PcaN%TTOSN|T%J-+|C1jzUMTdyHoPsc!8QCRMD7QyJ>{(@xyRPe1H%RRc&U8?=4 zT@mn)HCxfrf|@_AP;hy=SDkUGI5Y=49t4INdVABa{L@yeF0)N>OjLbTSL0(iP@{6ln#AT{LONvukAb5moo_ zFz`QpG~nUW9^v5NAu7j>KeWfbH`iO1ttg-t(*SDFi!*M~kkIN^(BXoSwzh(Wu(r0h z4Nbh84clS`&*L&YEOau*x9xA^Y7ynx6+Ttcf=8uGLwzM3!-J0Yw=uAa^ZW8SP6vVp zu)B4F2V{K)f(JHg1$ScK5RvaU7K^Qliy2O|JL;RuKa*h+=YMLa2FKBd$QRgc zI3#Jgf<%in<*n_A0R%gM^yW?P+>i3FlN*F1;edLO5{_OpvU z=)g525f0Y7*s=`Ft+K7woCPl?!i-01EGomkbAN6^SeLn!IJ)>sB1{gsx_|dRR(^;d z|Co4D-VIz{>!NlNe(%yz-8~W(ME7dQ&UxHDP3VDVH&48}9G)BcMGP2s2k*r-jJ<(X zEkZAKOn067dbjeXqQNbrLq`chJxWys$_}Prrk=q3itz^suw%lZ<=~wpsr3M#uoC?QXf7a zA^MJEhHj5aINVd-iRB49t*>&wof9g4Sp(*8JtWF|gTLqlYP}-7qne?gGv#%ao%SUM z+^5vT)@R**JG|BuvlgIZzUn1lCA)O2`8vdC1AJ#7u-$&SJ zQ^NmPwNhBuszf&=P#lW2I$q6UqpSID2*2ZDUjUq}r^TJ9KAlnJ#q4JU+_O%zcnMDXeb&Z(V4e1ZUB&M_-EZXako1;GX!`_viED11 zZssPR*QtI0(=3BwtMJXffvyixSy#qUSlCC#k?~m~oow38A8yu6Cm8Ds7_v*))d}_< zf!cPy5kY5NyJ^;0m}wExwrMGEm~n3W5<79obxXx15c(dY9}GUgF2H}A0ODT{xs7Qq zgXs%PvmXk@*bv_VZZ$u-X_ZP~kOBUQrAGq>bFHxNXcu+`QSM+=jccuR3!@(Zw9yaY z#A)Q8k@})7y-w(Wh*2R%Mc6|xv*ZpOc?|p|m>!O5#F5d~<}Uzz#o?wE%e3DG(Kh~2 z+Wuy`Hsa(VpGRBo38&#`z^f*|WYmV);VgqMTSEolwK3vs9)GxUX#o44RW3vo19MLo zGvw#<8K}ySbcsnpnfoJ$YA>L$XuQ#eUUsqP;EFFTc%xzWX$h_^9?=HuJ#q}u(>YFv z;8F7m6}i)ir}K(54hM*sbLosm-pNUSNqKbX+_eqN z%F(U^pDI*s9`BSTW89ybzZ;$ILnbAP-`b0JwQFr7)*LeRdxM~AG{ZPokTuwYeYAHWoNrA1FEy~6C?uP*jGhg|J7pJxNPA(Jn=iPYF0P&~UE6A&{h1#4 zJHCd2oCaYny~vxw3Z7Bu2ir;uw9{q?8n?u@Uv_C@Cn5}si19IbT^xSwzgO3 zIhX%?IW`Wok}$)&qc?VjQR&eo%MS?-^GD$+Wd(dmhZTtWFidz7|0C_8Q;L8CUdW zcSA3)a+pUFUT0x8rDT8IJ>u*GzufOwmn`$1O81)(F2U;LXuo>Di#$%h$C2GI!6QGe z8Ji5>1d}wjS1Ph$rZ?L_k4Ur&)f%R3@t)Ft-qLoDs^`yesJjIGenjL1Z>OntWR?M} z7sPLKEonk4_%ynXyuae7zp{y5Xi}apW5hWnJug<}isa?u(Yz%=_GNFnC-YNLBy*A* zqSUWL*%n$zoUny8>+pzeNJXS`&PTJ*Tw{zay25ldO0Hd(1Cz zt5y6y2|vO|yY$P!mnz&VUYOJNOnLT`9@`aVkE~Fw7jyV`ggw*fylIIA=M!VETm5`g z+IyVbE`^Hia7805BksW`M(uTq>+7?q5ahLVJpB|44Hs7FEC~l9cnJwZgwAdclUE{m zMGAiJbKOyDK`%yD2mo3{Gcrhwr1mk!2&z{A_Jz$`HB|3WE!{v zY+TZ6u~u*rn2tWyj^paw=B0j}7#TfmovP;ws$DQtAv`xPt8V-7^;-n>IOV4gn(Dc4 zZ-?)Fgcu(S7&W(4WxGh{`=`F&?Y47Dc5`fQQIsYBp~h0sfP{m=KejIkh-uYg_uxPb z+lLk*hS{Ta!`rRMTu^Wzxa7jn$GGfI6VNg8s#s**KnTHw za(k9D+?RN~gGz89_vFI4ZG3cM6O>pJwb(+GSSH74RxWvEZh0myedt)?WbiuwZ8{Ce ziV!}h@B+`JLfNxX1BHM+w`g%onaIA(Ow0OPDR(z45*N~^Fs`Rh4@0EqR6!zH1>{2x z-4R9N1`Mk4ITntO0Vc(LPGzHYbK8gL#4aeY5c9`SVt0C(r?y+g_gY7{|3bxz!GZ2* zKwBuW7>;zTT=t6G?gYdXy>+wOtzvtvGuxVQ#5Sn0ylSy)3%7H*+~Ftd_6e6>l#3bF zJsl$#Xw(N~?{vcJ+xK+B@mr<|J;9x|e?}`_{D#EiYPim@bmXo*wEr!<^%`fc`5B7& zRY>{8iRo`V(As;fJyiT9vrD-6zV)EK2SM!C*}nwhJPD@%dw7PX^m5y(@l06jHV}JP zO?=hEeL40symD=M>y3O}p?vC~zhCg}*=@6b@xysSmb-rWd#aJF@c43+VQB3gbEx_c z&Dt9{l(~M7MC<9mI?`h_`VhQIYV6cj+W)lkMgT3sr_wRQ_=5M9rc7q?t8C0BD!B`VC0x&qa8LB>|RMeyySCK)FMa*m$ zDq;Iw$J4LQO7ct+%-3#AAX8Ii$AlrZt#mpc8|S+*v9>;4vG*{;YAFG16s>>$%p?9K z-+x^17;44~VxwgKy9x3#_lkgUYgV@CBc^|lw=PS+$)O0wGa|{9jp1LD0ee;K^bL3sG^Oc*y2A%#%opHMr=hFXWAah~t?ksr4k~?_%FX{=& zPk=aXck16%AlUES>isLR{}slf5%maX#&w$CggYMV z9veKvSEyqWDP`uiTeWz!dC+1xr5_|82ChtwED%?H!9Iz;)8}iaysPkM-9)|*k9ivp z=@*Jn6Q{C&<$lVgeFjBgxl^ov0;PW0RCt7_LQewyU2$Az$fe9J+jv7vE%^H_^2GhY zBV2pK4#zR&8TD7moj_4cnY-RFdA-sUXpvAsK_`j5+q0R#rt|6?bMeuC>|I}Ozr}uZ z;UAzOUOn;btXf0v{oz!Rg2(;s-0lxy%0S(M%A8y4y^-}Z+5xGQ+`-OfYw6Kh)I>dMap)}y*!KUpjU!OWw7FBwTQ@4b5+^wU`myi zeCl}@OPV_zo}lwM=|cpX61)PWXF63W!I+ep-2A<0vMNJiOPmInDx>BC>wP|Klk;Ef z<58u_PiQ0{xS1+X?p>(jXsY8-Y0%b^bb^7`kNX3e5?(M zQy~xJtvp|2fmKHLf|o&(!_QY-TsdJmIE=zmQK@}b=C|dKFaS9p^saAa1)N1Zw<)e9 z0385&7kEtc;`w;m-*+kh!Ivx#{mXDZI#$LE2=So60V_vrYsBxitMk*_4~_iXJ!`J! ze35JWYuXW4+{XZsPBvYw>~})cc=7E8D*$<8+#veJp_ye`QYhKxOh-UUETq88!<_y3 zdKs$#r48rj33`KRl!erC(b+mVM?iScs26exk1hczK##?1iO+U)n_6RJFxniD;sSn^ ztFuA9_jwqc-Nd)Z`T3#}r?xx7)1oTiZf`rg32HISJe=L^rdhT;oSp2Z0J{*?Xpco{ z&}TcAO)XkGxK(UOukB=(kA+l6voVSTWc*TzkWRdQh(PW`_T!ZVDr>MWD({SZ%^*FZ zpJc{*EI!wE6Z~%lvC)=nkrUa{1j{mS0&dlF%isCSI>)S&38cF6N4ptXPQP~=U(YgcEXHp2cION58A`!?Nwo&HM)rZK#e#4;){ zC5}w6v?uQ@F-1~lVT)c?Dfbr95xN%l+iNAd(3gd8uX7Fmi7*S&t^~IwJ|S9Be54PHv_>4ku~Q>pTsdyVk}o6RhZVG6b2wKSX)NL>t3DFq zS%4pT)^(93(dwrV>t|of(qe;>t-P&2TS5L(Z{E-FMeopMtluc3Pc9kDQd#DbpIw{u zjlc%|bSkTP>!)Rd2TY#6@q!P2C`M{}38N_s`u=e4?LUfGm8p^x`meIV~MrnlI3Gg&9jCVySozG zR|B0B-Cp&nx&`v)XQK7YRn}}vWc~y>iMO?$ejosZ*Ga$K%p>g%N`4!{c zeu8XA-9`Y}V|n#q^%$ZCvW3~+=QJ_}iV4Eg$DD9~&$m zZ~Z5YO|6sNut1^1KNG1S+q?k3d>m|dJz#g|s^i;Om19?-(70ci#whMY@=kI0h3ErC zr)yokrf~sp^;pKvO-CTo#`ca-r>jrhp>BcK?yk`G4$IbU>!HS%raNg@JK}fl-Bl zUDT3W^S%G_szX!L+OUaJPt|)s)q7gidvw)%XVrUo)q8c7{h0qu%0~hH$53vSTSW5$ zmh78Zk?05`MgNMzYN)l{EX_P(`XgLcb`R55ANL#54PVyC1+zo{(+kB-U2Uq?1RbV~ zr}+5(_gzZ{3F2`93iWF%V;n)ftUjtpn@5_uECrl%Lz*;Yr*DGtf0pTY9q(7tGi4JMIMDa)z-<+@yIa9uyQeT@eFXba0D^Cae8q4Q!J^4u8}Qd zM1Tf^pN(Udzczemo>E|mJ3if+8E7AuFF|da~YG+DO`O(A+`(5%Ium>dd=1;Mq z6E0_j54U}H&i;i$ni{edQ99$Zp$#yB6LD}Vo9^7Fg0 zG`E;^5)o&jvUJ&q-|)m-i~EUz_gT}!MD@joKDmGig4TFP)Ke<6GOJm`Lt1RRvo(rq zG(kLO24P)I{5DpZ1!e+%L`pmvAz~>eJ*E>MW&vJA7-kWHQ694o^FFtDtk2+v#JkfE zZV5@(5Y-aCU4qjJ2`QE+u9?3rT+oaWGN89oV) zORllE)~QZ}9RX${F%hvbkr3O7M2YWhgT#{Ot+@oe>n(uXo!M|Ye#lWu7^y!9#qg>sX zkdTSUt<)`fMDxtiZbluO(-GOjkqrF`X6h2E=8_O}33qXYnR^Ahbx9&P9c;iAvGo|- zb6Ay-2lvK|5zI75ZJ{c{j55FsRmenW!h{P}v{~U^fRPm@Ii3j+XES0>$s4LiE?2J< zBH}Q_;xHlNnU2U#(NlIa;=JGegtm*(?u4CV09h(4=7v<_gnQtGnL6V!s-_E)8>=p} z2Q!dvW0HcYbHEfn4o5GW!zCp@i(isu%idw&8Z|!Tliz5D{wJ37-~`?)s`jT(2tuF# zAIY%r{!c7vQ9Cm`MF(eBDTn`)3X4bs^Mjv6zv??#g3G*BmS*$%vi%p3d&Zw;W=Z!1 zn)vL;aJ`a0Mvzoha)0#Hv?<)E?XdFJlN5h)?jZr2e_0d4XOZUb(P^|HWB*Kg>5lV% zOnXpIFq3k+xkEp_SljS&c{Sgk821M3_T0pMS`PYP@&vE`iC5Km*|qk`jb|GOg&9_4 z_R^FotBYM!)Q%fgMRbQ#=pp1xV9iucl$JHpB*G-Cl5(Rdmd~gf$Rb=Ub{mqVT3~=X z7>q6}#@Er=x3xuw^B&Wz>hYSM1gwaEEa<~$8rr|)(VJEn3wfJl7A`4)OsP-JaGbdt z>TvvC2py_y(VJnjIk^A8Y>YcpRM#nlq_CD0DxpcsTm7yx+f)Sl0v`^ShuJ3(-F_yJ z9-8gl6WS#Ubn`cr1U22UsPCm}d5e(UR5=2CrAzgQNSAA6E<9Eh45H~)(q=C+pJ(6qAb*^8VApY1~Xm zG!o-jK{UHAWvPM_4#h;4{7pcEtobEH$r!dLWb?h&K0zNgB3xnhk8ph1A55ynaOPsM ziGeSjjZ0BW_=IskK}m~g1qviTQ>@^@9tW5XqTSGpL&dI_nq*d$h+K_^y7}kLVAKGg zszcghP{6CvR%_;jCOXQe8LVw@u|~1Fa@@b*b?!+1$+LAo77=kI$+%+>Mf zh4}Ok!dNO}ly1I{P*rIWNF;eqt%zectY2h-WYQ%+eP4`^tmN=cp&P+r^J#<{Zmecn ziQdGv)-X2$zdsU~|T*9k*{I^qz2BykU zpgGEx6&`6?@dSW9dhmQti-W%@e6mj7a@2PmX$L_#Q_NsAKU zU)O@My-X1ijjT0?F~onyKPgG$yBX1MNeWKga1A^c?=nScRCcvZ#xQp-1KB(Cnw_D; zbX&7D%4#`wgi#$>gi2NaE#VL)Fv&5HD9}WFbE8RPu4v|M-ZcI8YUt zMBHgiBukJisiJ}#Daj>YrTB+B$=q~3JoB;4W)K{*WphZ)Dn`SZnE!WIEZ;FII(0`K zZfSWlzgr}p1iHYapERpiinC6Ca(WuuoOwcE;>$jY`9pnqiyu z%bg~alMJLZu|m$+r4H&>PHc)Q@(XdyMJy{n=`N|kW=o~Pdvk&kN2-DA;x8}iz!t#o zdg*h!WHV21oLBeuF|McJbNV~610~T21yLNH?fF08m(h7q%LVXj>>czif#MOshEe|H|%xz(h_GZI}2^Rp*F35Pa*=54{X6b zW#L`5w7#ykNJrl5NwaZ^-7X#9<=20CUn%QsW}7&yHZ~vo(Zophl`mJsjXmNE?DTal z#`67=pL*_fT$MY4(Ts19m*5SfwI^{(#T=d-aRjTZ8)UHCkK>iMcrjVTY5JnbF6eo210$Qfy2;86F7mJdUWO-bv1tow-pgW?+OV>L2(;Akkjy8h;OE z9P@-SxY|ZTKDB|lcO%>Row^{s$_AIzDs5rNlRg_;<^!;!bprmZE24l~=Deck4D?+K zexa+q__F-q?#91*)UiF$gc zQg!|d?cNu;@S(6Iiq)1+-*ygAu0xE{s1svhLU}*X^)&iVT^hF;uV^{_0u5A({X~+< zXi)}&w|2D1bRYTkE&(w=vwimlD3gVH67Y8p;O-Pf=N1B8eT3!A)qOC76IjcCqZY76 zIiEj#$xblR(@5-MrbsR@kaI*QI{NpgT?OpSE}||i(Uc?}DWu0K3tWOjR0q~SH46VJ zl6K-pIAM1FUa2rca4`DL6P#<^+ebx->el{sE;Y`8cPO(uX17ay`kP;JaZ4&;hP)Tx zJ-*b*nne8KIR$=ZyLB(=&A#=h;fgdV7xe68aKmlu;~HI)^^KbMT~q;)!$4D*=v`Jc zU9qOu;P9wU)(A`c>2;qoN||YrSXKgezno4Ml)#isVRXo$f+RlLXFJvJYHrkw*XMfB zr|8vDi1pdSmL_&$EJQK9fRgPV|6G?6+21v|qguwn19?~n zg?Q8I(np&Ih?-LE56Mt`ta0$%+bdrJbgdXPnob4@)&9~6@Q2+1`_+EDD$090N#>4( z%&=Kkk zcD9|(YgvDvRNudPgLMxxs@X-k=LEK4RufAa|KDE_Yy#UBgYCzMKrp1$3K z&1b4y95Lpw%c5?G!%(R8;;|Ei^^y0$!ZL_OSyXEBA%*M_zYTA7#Lx+SG1zfw5754) zLOztBuE^^CoiAw6>t7$>S03Qk{_7*1_@m|DSYpTrwvm8{doE?Af4oBnLGJ0ieDni3 z*lp6YkV&63dI=AlJmN{O)4>=T$E81biN~rkZtn zw;>8K4SZ7B4l-sLy~PCz-jtn+=w{=e%_e2!D1oOLRk#G3b_iV1SDyV-Xs!>dCG_~T-*dg2d3S-CRl(+giT>9z)d1bQ8u?ommR^}Of;cMthQr8TOTL%BvX)bXcUX6 zY>>n)XMANMUPh7<(rT}+ERA1DGLjILM3Gbbf%)>+H&x!LJVmE@oG;#d+>74A0H-du zzsoyr=aJn^E#fG$?#o=X+D$tlk;Ykt`MDFYq!3>!bKkAxYXR`~VuGe1Ilm8VaY@^j zCFXfVU)UO-MB8JIZ5KLR2?>oLEXvBp>zoltfuB*>)zKzm2{J;2;t}Br7kNDq3MJQP zA1BmRS8+Y#X6Gy}1Dpq$rKf~5g#KkPUScUh^H5V?a9nEp3i!KulXb<61Yqj|>kl`x znV9|YURA;W^OFDK}ugoQX^z2U<~1y+I~<9|3J2ZlZT&C*wNOVl@rO< zqAe}+5wbwg7&O(USSJpAD#$SlX8D};?NY2n@?L*28wV2YNkKUTPfc&4B2@2|jpUo0 z{=XF2?1y-B!n&j?ZE5ubxulgSzi!zKC!}^B&u$J?9Nxqgn;-nw_;0MY^QH6GUZ8y* z6PHF4ZPn!gl-hbR99B;j=b=-5~oh zHRtWvTK?tBuB7r;+AeITZ{Zv{f6+(}Km_ulHXD^2SI#a{!}tQ&gF^c4)j^2m*|bfk z-x;tjSyPnL)KkGJMU~7b5+_WHQd9DVx_!}r;PrHIaY_$!OQxT|Ao=4-@rN&a%3V#4 z-^Pvfi!lWIrr*hsMPQP(RYaL(O;(t{cOH=kqlC@6S)>u2mQehCWNPwej|z`d>|2s- z7uG^VN_liL+P?|OWwVOLmJ+29JKD&s?u`sFoAyDTRFIEjC}xyx^^Hf`A*>z~Er{j9 zmAhNaJIjgtQ%(>nyG%Jz>cule^XwD_hNB~p%Uf||(-x~Fuyk=Wb@p$fw1mcyFdF^I z<+EMW)_u0>>ibd}a&XBU3SZ!My%>EU;yFs&hL=HjIb!bmc!+^^2~X0>&#uB(^{;^& zXx>&|d3mpmb;Y7pc<4SvIxv>J&;!z9u0L>HruciXF1evxgDFYVo-<>c40WmHBR_0$ z>E(QmJg>6mVl&RXzRPzu{3K&^{eTmCZi(teMOzqI)w*sFPyL6JwxNHDT7OiH7aAw* z@{fsk#4{m|c)Rt|#60H@ACfJUos`r#hs2RB#NZvA^m~WV%bTl>CWv(GTNmMx3fJFU>Pk9lPmcKIE|&`t zn%Pg6$gj$jwFbb;a`<~2s24eW0X(_{m8kMP5`aEXth{$LeZtmhkFObEIIrDd(F)>e zhyJ$Pf}*_0c2*$QkhHV-k*|AF>KnJ(6_pU(QY#eyOo9`meMVjJa=AdfcJ!+HGkvl(1XK`iuZbmn!iDJJ2I?DL* zdoK?P;pTx){I7=B-wL*AWiEkdNSs@UWcOj+ALtnEG9Uq;z{N1d2NYFa_GBspv4((Wj|+ z_y2YK{~CM8@XEHPZ8S;8wr$(CZQHi(q=SyFj%~Apj&0kvlau|u@Aqt+eV*&o`ZMRX z*0^WQF;T1TQ817-%7tbU-*D2r!VM0A$=n|ahJ4l!@wV6cFzD!}-W0KuMS81lPsFh` z$nXM>or-j#+G8z-soec`iVo8eT??|w;~7l%ko04PpR<0;ZE?3Dd++o$mC~RoIOMgZ zF2zB}q=m1Q`!Id=*+G%D4(|;e5Vp$50jJf)oMi8-0-}D()fmp=P~_N;v-;IKGI6X= zE6u?{wri8z;OdRRVNb#44>A5UW4$jYrBcQ__M2}vY_7Cin{-OZLP_wmZJph>>if!F zvh8b`x6IKl**Z6ehRMnWG~0~B&B1#57?n2@Rwt7!g8$Fnj;>GdfyhfXn2JXfJY9 zi1X?O27zF@nFX>d3U5rh9THE^(@WJ#JGIKqCEd?#?-rRGyB}kl;$-h#1m}syzsrNK zkC?J~=?ZK;+Ho!)h(0E;sPVTp|1kd#ByodnU!B4*;QyQX$NH1qkukBcbMzo4Hn6p` zC1&`)?K#)guvFkb*ZI}eg(PNy3~j!%G!P7cn$tk4)9A5ck|RnMHtvvU93_w2wk;Pb zHdd;hK8n3BpyByk=RJUDeiK_|o4k&_VSl^;3J^X?u%9qFoLGBaPkSEM{&?})hXWYA zA`1~Opm)Tq*gUT`Tw z90tf7JP(ztf3XkR%LEtEOnO`EFw|FN6!thh4yVCpRP_pc-zcHZL)5%I776}1)xGkh@E_(}S zu!wlr$F5JTYQD=iqg?P^`fF24BlRNIt{;o>tsTGM38q+Rqb;0?rNY~5wD3uTJ*8ke zxhIroOtDr9}{{q{?Ao z77}%4buFqzw|fYkp3#i^OivsiOF6e40>3k2lRK0iI#v;RlaiVb*5yNHo63jE!DULo zD1PJ@Hj{G*4j&8H!L(gN_u)=%xXOfK;>V%Rq*}!!@OR}D4k@jwi>r}YU15jc=IxN9 zKr83VB7fu#-QGfn85SBOU${3)l%e-S&6l8z%hO>)D?Mh>v8dUn`&Tb<^A(L}HrRCa zOyN*{oiaC8L>$=ciV5T)NmMDaY&yZvtw+!r&UYI(Ctf7Oefq;_JvckTSi0oY^fD+-oFoRmp4`T!!Yakpjto^-#xVn1eEdy;m{o^_Qoi**@PrT**I>(y;pRd-X}!eu2`p4ovP8W zSH%@>6kEgum-l$U@SGEs25H*ed9E8xk$e2p()%+sjpn#}E3HZ_i*+&z;)-q}M2Oe8 zK0m^tivc*gpIIk;yKW3f5|vvn`Ve*-VxxrVJMpY+wsb!g%EDBynyPKJgr&=Z6yHxh zbxMU*5V;94wB(pAav@m_m*Bd81wHnm=>@hjYxheD3ejT2mb-(oy&t(ggDCA#Jt4Oc zO)76&MZo92a0^4&+$hx`Z4S{Yn7^x%vWf$Kb7)JJDyB7r{VKm|ald!kLa>kZ6kbuC z@Zt4~*K@o~I5MpyQaXn?j=0mBJ`@jl>;J3{TvBBpJc0lK97F#%`+@XN`|-baLj%Ga z=`hJpE^Lsz+nL4_LKWsX{L0oahR4Km5CWcia zqe)^lQwDAaH0~?jbG@lu=JCjN@?yU8GJn~teYNJ(&XoAHPI{K~nIrz-b>ng46K9hv zlx?H!4imxU#!8xH+8#e!zB9;@IXg8zJk9dk?sfs@@fGavpyP1LxU}J_OFL=M#PHsR z-s<-&(m8oyn1T+W9Sd@A>Y{=fh{mvCPSzyFgt4&lilFn(P9Id=Bg-l_CqA~;rZc8n z^wlw&B3>n$$Mg)@^jDfnYN@W54H3uaNnp)eP%ERStx%$jgkjV)wR#d(Wv@ZbO9Q0p zgw}Cmmidaa_{aNurz{VT{00YStD~t49a`KUV=OvmuGZ?)IE-wRP_B5#lAEn^woFu$QT&f3r-2Gzu7dXMh|``@TORi zJq=gxHcGV#-H#SaudaAjk~ZD9iM9*n_c?Z=c!Q;%tSTp1Y`n>widn+h%7!jx$Nhde zVAG_LalE8$`U(ng;PTS08*Nyywk%C}yl2Hb4sW&9u9@X(SSEI{c*W9Y8R`15Y1!3S z-eq&P1#^wlu8Cy{TMZTcF#nhiT6w^)=2LCeLV;W5c)sf1vI-(bGsbBt2$3ltQ+g59 zr9MIvH>RxCKr+qEF0vZ-7&#e&cun2}+b`DpYpzAO5j?P$rKo6kgkk_o!Emr+d#UsZ z0)esg+TFew5lVEfU==SmM_f)^8SW!Vf+T%Xxo}n}A`rm2JxQJWz&61gA%Ozh%<-w- z{Hrhud*CRxN`j245;FtBf(tQP{nJD3=Vh+ zO27@U3zdG23^rF!X2>V89}B(rkW;eS5njSh2Ylm{xy%Q$n)b zA3vB`r|!Y!sw{M2wIr0&L17`PON@vZLQcSvoGiqFZJzNYL!1I7l_JN6S{arq2WE3O zu$XqZZs5b=@&^-k)qDR5Ryk;Z9&P^>|$EE)$tg&@fjo=;4N z=Okrei%xD?l+`K~18Bo#D>Ya8iM5?+WGTspGCQ8FSe{Z>0ngytmgZ^Wj6?u{V@VwW zy@CZ^GHmQl{gct=X3V#NaM6ZYKj>o>Ax;vW-!1X?_3BdfRrx>Kh{VTj#KROLt?&kRt;sqeB(c)CtN+mx(wz zhF}478&H(&=Sn0B>{L_5zYa4RQZ``cNdtG!mt;Sf&(ixbbsv+LE{ngfN2f?*D<_nO zwR_6~w@M+&fQ3W0RbPOQ(LAZw1M?%(qbE92zj(hvkQAx#tRWS1pjt^Bjx#-ofqa`*o^T~emf)!d^7UaVCQp5U{S=hxoK^V~ z|7L4j0mBv5bZu@Rc0q6=za$oMS1?wdu%d^R^kbKhkF-XL+KFzL;GxZ(i6=y)oKTLU z(pQ15>==u#F4;F(Hcd2@X?Kt1{bY*t3iZT#?^3cXnA0zZjq^8!>&Qvtj+*Ux zBxNnbe8q}3i#Ab~IdG$Q79IwThLsV;eD$zsAW<8?`QgvP9l@oQi9MWcy3X;{V4Wuv zFPjzf74g*?oQC~$eMy%pK$(!z-_PZ%7kJ1qszVoGOGvq_NA{EOGs)+Cv6|D6n>KYa zz<~$-)WVwkaaDBrk60ROoz`Q2<=rUA^?56jdU=5e>6`Gk(kPD4#mmM&v_MXLq3M zG=wjo@Y8$_Q|l%0*>#JkaRR0X-lPmJifgq=^=9JZN79vr=S6U>#JS)XV2Qv*{sFUq zE`r>W)+mjd{)Q5F1QOR!`R*(w4Ie6D>Wo%b+)b-T)Qd|Nh3G-j+G_IfE;-^+zuF`x zjit3lo*ZI`Q(b5#4?$R0CZS-AB{@q^qDP?51FnU=6%$#1uw5BqsW}h{F4ZK%$u42Z z6?i*Dr2JIsvyTHXlyexnar}zRGU-6vXZ8~_M3W8(cfgY>ffTJhV^i|d{c_-GLsMDK znyxKv1?!=T^g}cbN#zLU<+3t7aJ;OYV;I)z+`;5c71LOdg{OJbR0(Yc1QuVO~U+!kO`-9^jxYPE_D_4<$EgjU2D zYGk=$&U4(a9J9Ww@r9EG(Z^+h1$(V}wEMo3A(2VnG%U zcRg9KgyW(k?1`=6tey8MFCOKDfJm`Yv6dkDK&Nk5@ZuQu%aam|=Oo+i-C|Y#J9aOYRur*p(||nXu`?-{;c#3X)6(qI$^o?(xWdKA~o1)#3`i^Q)Y+2^SauwxFkn$gvon6M6=`mFa&?ik*F*jYzJ zJ4l#V&$bclg@YoCjy*lV8nq}8k{=LOj_|>JCo&9ldjgVlhMAR1{i2w)d z_XEX8LxS-K^z1fc(KAN+Ho{RTEOlY_0w#{{2q+BScdxeLmg1)a+g-MiNtkZZ=u}mzR8MQ`4t7F|SqUi?}q`q>PW=+f2gxRo=)n8Z5o$CjwSeml z%wl9B_MN^+HN*{VuEggx{kq>IJ$<>Iom<~on@P{ZVw}s#!wIUSIc2)bj=Mh$!S=<- zxefOdl#NIyzLP<#kq;60YFuJ=)e0F^Zcg)T0blOQeObig4`krqj`rV#)BStO+VcN?vI(c#fjjP}nmf>F|m& z9)hUmf@hp0Sp~uF_asG}L8AoWXkO)7xtF6-_uwZ~DJFd%+5lUF$6}zl(N86dk|L-g(X5iDA6VLcfcI zevk_J@yUD|n%B+Ms?Y9iR!#XCANfsK*=gNof-mq*)Y>l4qAGOuymqH78Tat0xsOrC zM7S=>-@ft_!&1^*B^|K1K(lZb%e^zldb)R-3hO3&qieIe0Vtg}kPjUg>mK3{% z7Szq?u4T5%--oQ`h1+JN9aF4g+^LI@R@(+iApV#@l+t!Vd8(UyVkfJux4zMkR9PE2 zMW|*(x8*O1@F|SC7BS-qD_cxbXvFcuxENBJ-#4eIE*q^qJFG4%=bxX*EYnF+9st)b z@x+6LR9W0FZ8cG4v16|W`3+<_1gJ|4z=jNfOD@c=G=SxQB??0j_}z{XzKSXgzCJwW z2Uu*^9#hG<(N(s^2HQ=%so}a6 z?;U?WhY@t#K4ynoQqzwC^>l&+$b~V?;}b!t6PGR}b-3iUoZI^!ro#$l2jA4|0p=We z!&$bMUZpCaX2{`AhyC^X@5m}%O-Dt#3Qf}OP%xrU3V>k=gLHC-Q7dJ$e2#z$8-0wU z9E@JqK-$Dsn=Dsnu~B%_Cmr5K>>2xtZ{A!y7q}fj5hoAoZY0Bm&@(TGZ|A=pH5WWt zKX$j3{gOpFx!b-a_bBijxr3%fc2vvNoY_ov$w7liO3$e5LNrI&HS$b!nuw$FIhCD8 z9F5P0A2M^yOTGlH+gZNQNPXx;xS~kcJeop$JtuJ2??m|*5O|{7eUnza*dcH^3*Jva z-cL~neS=$lW-xeN7IR`)e1nr%8s5AmBGTehmn1)LH6^Qe)EYQ#0I|8|@!3y?s$5iM z{k6bdVLVR`t1Vd8MpEuXw4%P`0!GC;zSCgd_(4@q3X_ zM4!MZ=odV~Xdr$Sf8cNcM<9}eMpFa<1csDRea#9=xlR@K9`U0Dtt0m#30yzQ8D$CZ zhGG(4(g<679ko`Z$9H9xcBb`rna4#Qp4Ue{w-4YNBt9tONYf1m71JpSl7t!8vpv>t zg8B*{6&k7wG1579Xw)XT8u)^RH2WP51^mvxC-^gZW-Q+0$8u73DVGn~RcCsD0vz}`sfv$k82>Jw| zx-8$Ji#B0`J)WYdvs-$KXh^0v9g{RehAS~ka&{GK2dKM}Ql9));;A1uQV%RdU^Xy; zhHyS=o(IYTBuOLCGD@FetS;*%P|^qqsyb}}17&G6fyDI zhwn~!B4F|utW(}#!r_{g%ib?3JTkQ9ecp&PpQ6*SeBJP5v{a3W&dztaRC-G|<`l12 zzvGEc!#IfCts}=nqL0DmqEF-*f|OqHQZN%Vb=|!Ncin~vn9u7vUCq`|PRq=Od3wMn z>o0=KTJ~TDDj_3Uf-2%r#VQOiL&eXdvI;ry=;n7T;~jfRqGtokUkVcf!YMvwfyO@2 zP0`lCls9_SR?|gTO0djb!Y5+V1`g$L2|(ZuJIs&5A9bRZBKdC5rF5HSS)ToJZpa>K zq)_Lnt-tTL5EuZMY$U&G)Ri>{#?`8$@6lv13h{&!i63YHBS%T(o;TsEC8Nc8;6<`& zbRr8yJu#sHv!xrkCDJk0muv|yj9uMNkA7g?&n<+Pz& z%uqUd8W~W}ojY@5xd2?XXzG`Ju*7wFoN7`c=V#Z~v50Y&lAOfEBLXzB?Tt)I4XS1w zpfY(*unEi9$Rb{lpx<%UajN99lP2oK@5wmjnqSa>eHWAK{Z6iFuaIk{IoputtEHKy zIAu~M!D^qDX^K~Xx_52~tafDyUEF)tF#)h4&Tme~RdC56W};Ag|7^S5QR0kpX*=bL zB|Mw^W3zWv!S(?*E&)VE)pqH$PnqlxUyXc1#tKESQFI9C)RZkj{=R1n6?Efpp8JGd zcX<~At>v0;PUhPZ81i)36L}=u6@$|i#AF%^TNFBP-#u{s9mi$UyR5*q=&;Aw0fGkR zoQ*#jtJTU$i5|9!tqDn-i}*whG3a5^fcOMAGm>nn{0 zEtTvl+)4-fy$fpD%`EBRldUceBa3>6UHX!I1x=vuhMm@3(I&3b5^xZTb^ap_H}JUi z_8a$>R?de$zz&2@tz(z}z~IBgwbVpt3B{9k#s^NhE#b&ux?ar{?LrK3ThWgWH^u5T zNcip@^IQvs?f_(4`x(?!(QB4Lb?~5Qci~6uJA9pGLp77{OFGQa@HV%|jF>g0phSv6 z2<3*>ChP>FXkYyg=5DO;)2409EbRtiCmJ3gb}Td=fghx|j+6On>5cAzr2C7YyvRSA;-K57qQV;O4?ZjEL+ zPF_{N*J4rF>!9h;2|mCtay2ZbtfhfcpsGD))4>ATJmC`3*WkvD-Qm%$e%eql*c9LV zh1OHqQv|c=pe=F-j!US8aDhB%SWyAohQ8@!Z7=}9_U%FQ`df~L=2Am4Illq)E_UB@ zN9GtUQJQ3e;P{;|tm!3#7s`*NIDC1h?#eCAbm(ZXNz_ z;JcL)k{Y@%EGe*1B7BGcPGcS@z3`kQiWSNNBsHcvGp@L&#U~(k!BB7|I)B>=P)oH7TtcRy* zn0C#Zi10-tRzr};dfmMh>&+s8Y|Lg+!Z5zpFEK2eRUl4^F0dOM5XE!SH zYR-_<ltn<`^%yh?uuuKAI=qrasNP9aX2X@(9Or^ z$rAoan?7>k{#7DjSP4THcTsvYlQzvkNjK(FS!7X1g(J$L znfO^{J#u8|UMngxi^3hkAwo1FJB~x~8~G6WKG+oLgS($ATf{iTHjK45FDf#}YyxQE zBncvsXz?5zD(Mm@2MzPVP}a7?drMGF%mu26GDElC5~R1Gwq$95%p1T~8`IlLP}_#! z3;_=nwq{w%)6=HnF*=f%821ym3FD43FA}3cWnp@nk%+YQc*7kR#-`t6#$u(k*ecTc z+6b1*iW}p%`vy6F$C_~TV*u8t5aJ^JD;~x>1TV}kC$EvH-$X)RsvJ=uodXSMK=lx5{$y&pQeCSX z;N{A6{DO=}MPg3;gGAEE*h<4dPn6Z%VKW~FZZe3LDT~;FIxKF-ZhGZD2^P8B@KCsg zyO0e&`TI~iL^rXkonB#WTy7g(hpW1;l6&Jiq@cs)uWEsXm8&B0|?m1 zDHpW$W@WiPH*Z!)V3>xVV4YoQ$YLy5XRWbwGK)%$M~~j3+1d3J>zVKXq2 zZN-nguIpu`og)cXjq|In(1{xNyP;gKK{xm<$Rurt5>*CyL1-r~Q{R%nx`uHVjiDZ% zgI~$362CRqe#VMCfsS}f6e7l4vFFJZ-I~Vf^LW2sw7+cT&6iDSFt(-a@Gmqp!c&z0xTPSVU0m-#hCd9-oaK~ zjv3Y1Cm#OQ0U5s5e!-R`){%P%1PeLZ^#pHYwOMvb%zlt&^Z{xP$&+;CUZCCfE_~I3 z7d#T=;bn=QA(1t!K*41cd6x5)@NDzzXULSD!6V=SdMLqufPWY(cyr|@TTlQ1P`Ll* z$`JhBSQ(pG8+e!)OW1yeju_e*d;E{_@=;v1o#lt;N%$^fE2AkXWY-`cG^5(99Qc*j z&#xT0hg?wLqqnMcvg1x;7Coc~xI=gi5G(GDY`8EiL_$;0$ey}8--%{|;J z_}&4zM7oVAi8C*1KsofCcqXR0w-koZS_TLKift4LC%W);F6T%Z_=Q{!2&Z%}Aeu4n z_xU3f;V(z!Dicw2kCX;D2$i^^>%^M1)qC20t?l209_L+mbjG=G88!Ng@`71YEpul1 z5=*IEUU9*tk%;GG;4uW)@FSh;v!tj~Rrw*Xd0z83O!u;(WZa3-B!c^UEqsI(o}c)& z2_|bL+nlPY6_fc82D7h|$?lA&4*l~;g2iqorbbrdOJ({&1KH*Bh%35@L%ZH*qt?W4 zsc|H7wJAz*B1PFjm3gSYG6KX8UCi+BTOsu#q@zeKQ*7qLC?|nDkkeitrp9LF3{@}5 z%pxCk@Y%NtRiB)0xl9K$lWM}PN*El!my2OX7#Uq6Vd~X9A*?@wSbUc&Z#gl{m^_FI z%}}S=Td0|!CSur9-&tDzjG%m9TKyce)T@0CsAaT8Bh&DMs2WQ@2qb0t0C!`rzEQ;> zH!8kT4C!+S_#BM)NQv_6)tS8q`{V8iQVkZF1Oxz>0Qzrt$CoDzU}Irq=V(Ir<>>zJ zKN)ohZ{$Uf&#q2WGZv~!RY7+Uph|TNotgy@QJ^y&h$}-0ePBXH(h+kv;^@?M1TTMj z)`;Dun1ne98Hx2|*5f!%o*6^SWaWO=&KXi0r%jto=1xZrp4m3BGjBUn*M`BGCo#Xq zhVFHbOP|5d&P(sxQZ+SQ0Dr(Y3Sc?Km~smWZ*`p=00hfjC`VDnm%d#~!eVjkB?NcP zwe*PZk>NE4(q7=jg&ZQ0W_=0P%oLNw{noTJFhuNTlg5S6J7GGqU3`Qq9Bb%j$mi^V z+gmZY-^`|sa+*Z)d83wAiDD4M&~CIVa5LO5VX>+pR=iHMCYFdg5sGOLQEE|xnm~E6 zqN;8eR=tpZm3;SgV_&fj3~RnUCv>HY(0TK*ITDvy;BDaW?uKEz4R#OZsT^n+*w>g;KXJPeQfOjUeX&{Y)m+_>* zCLB1>5U6%wnJTn*{M+}zjKuui)3D1rFQZ~BkBzS3RzCD?3Ea(2`PB}esxA_CjuHFn zYvI80cGP_eU1!9YZ|Ck<9a4B((&X8mBG`V2((GuQn{Y zy_?#0x3CY`GL?9qHLJs1qJ$eCpuF^$B7^;%tL8qS>x1cuvq*z(Am$So|Y77gY>_hCEiD9m46rdQD=>0GVxii$Eh0wq+`QC3I|ds#{K z5)Kr^#e3FAu)tCAi3Q}v@adc}(UpN&V@3OOZ}x}CmEVt_p#^0S*kKn4?03OLW24R? zPyqF<`hXgGKyerPL;-Ds{KK*X!C_EmB+LaB`(bkVzQI?e zZfJy;Xj4*=71}H7BqNH6|Gu)txv5BJC*lWRNqc||eu4aj+%!{%JXt$!tSX)0=_PjP z3)q$y#$ZF>RO3HCjxyutiO}SXd~PI+z?8N<@iR>@>h!K)pbPuNgo}!llfGS?xsUfq z$ed;!B1@W9)YJQ^33 z8Wh0r)~bFx80hu{C)!!AGbt?%#hx$0%H6oD*jQi9tX!!JTAGclED3N6)P?@cg{ZpP z?+MW`$I?D#d_3Y!8wxdf={%1eayX)zFymS28JO3h3n@cQX-N%=ao6POD5r|v5}0fl8kuwCPL4~lX;_}+D#Br_d~y2u=GdjMEZeV~hc{uD)wGN?Fr?GfWWjSxa_ zt-)xGa>=aVKHQa0gc~6S0sco7&r2FfUPwE`Vy0+(;>K14hA#ZL^5*rmgG8?Q5O>Qe zRPK8ea|GLV1RgNE+DMH^1~I{UpAKZJ9EkkM$m*P@0uzqY&=AEg--PPTNW0ub&z=I@ znxDATg}BV6V3j4!Ns4w%rN^bFr1=Lk4iw4h`Ifa2hSlcnF!;ZD< z3zC}@m07`~y20xdc7V+T8@ZRZ&VinCvw1p$0(A!kp0kxleiHJLlRS*X$1f$wmRrE$ zih(CnH%-*e=cyvy$e+Tpbs!l;gQ(-2v~ynpceIx@&muVRzw6-u(p0qoJ>>-$c;R_` zjw3%lv~Cxxl~W+~{F?kxUJc1o)(8+?6B_Zpyhzlv3BxCr)FKQF*3F+ypD?#FqhKM6 zlviX3QCBa`I0H6&5}%HcbOU6vaM;xQpkx?t+Phz&n0|8wMlm0|o+&Ri*ge)4wxvcs zoJ6&|wMZ^89kX_IYO)@vK+Ew2{yb(;77$j@D$Yq0zY61`4v$(UtF5B$nTr#)Z_Jp2 zLXi^6@>}AVIPY2Ji6$A_F9RgWT~L!J>Z^wwB6|IR0mK#8RXgs=ItgRYLg)CtB7p{s zLbCZOx$FHz?Fet|I*_2J`dkvC)rI@OH_I&Kj&g2hL?lIIm9!Ob~VQ9Kl}}aly|C;B)}%F2vc z7@cVkBbo(|EehgA*!psRn0FEop=S87=sDM;+Sr=D-ZC}`ED#|hq^f)W@Mesmer-`h zA8Cg3?T`k~bQ3>9yWcLjdk#D}t^)`TEdjLiXX)nC3maDj>Nk98NJ$%x<#+2-l=Pmgg%2vi`6A)0NI!Xng!EcLDP>RXl!cU4raiGxGh0G{a6teMTy)&zr>RNzQX$XS z=I*?P28Xb;pokqKtF$PRdlT2VUE-w---6lYh5?)FvHHe`)R}i-aWDG`0D1EZxz;2u zI!57SlTR`GwN8|!%22Py8$*Ani(Xuz23G0Q`V=%yGq*4 zqD&uO1A)VbhVhIe z+;WSkilvSFkiY)wbL~-5RVxwsAq!b@L>_N^0N6Kn_dV!0)B6tVZkjKaAVfquZ>Pch zYM8u+4CBayaR6mrg2n)OdvKyQrOV8;3JUg&%>KI_|EB@LgDIWZRTNmtwE*XNJ#wBT zhch7FZzbQ7Urx?UmU-8 z(IPIMH-@Q0CR$pIuNG=o*Mw;~s0yPo2$CfwENFJ?-0*SN47<_IwEGaYeH4LP7$Rj3WU0S+Mudi2*h311#Aj~Zot>Kj)o^~rB>*4?2g#J z7?HbG=_*ew;32wA(frkj*q=yiDS3@la5j^cmB&PPG)K3>-4R6_6ECU-0kKO$Q?Rg9 z4-=9P<5MC^u`~5Bwu5c}6_BeH5T~KIh6cTu;lb|?#ME$Fd}T21su|EVW)@x*ZWkH> z>i|aU1q_TEw-ELZ+HKHY?Qf6HhX&MeVK?+F8|(84VXv_d+Jv55yrP>d{(PW(U_sa@ zd4m?cg#s0`TTSu$Xf}uF-2C-G(Xo9QEZjU(P)y~yUMy4f)mTb9s`Ss2^b({+t(K_= zdTgZ6U;9$@H0i0b%6$bLaZOU`sfzRTrFI49$gMS1miRy1i z%;!Xuc7l%1et`Md;<=$rFjO`Xl3e_3sSard+7(`i!Bi}g;L)-=^@PQB$>g&8#CJcs zt~KlNg2_&O;PXJ)xZP1;t#8AFwf;aC<2fnA>=KvBC=G2RqhgU&8h;K^*N2(!Bj1vC4gc9n8LOPGB6)99!NC!MOyO z#Ajj}(up_kL8E*3FRogVT_64L!&*#x;Ox>=Ocxpnt7`o?c#fc%nDxl^s1EHww6j1_ zuffCTkMrhhrDn&@D4Y#W#woEqD(V6chG$||kru`8Tb?izDoe*K=)hqNYN?xMW9^X# z-xrxzn=Bm8iqa?AOaVoIK|z!R!71o?M_6}l3@tvwpK{c-mo4iMw9{F*>gC-hFdyP~ z(4-b|aCHbeVWc}DJVd7!a*#1~#GUe__9>YPMWLIr9gDt;f!tVY9))JFfJL_>v_)XCNd%)8 z5}Sa{bv-fl(r$qU<%}Io{fnp^VyFzJE-Vba{j z-<58f7D)53vawCmu9U^;30L(#i}eZO4_6#Rr4d=?i=>$*{=*dq1VRQt1^@>K|8l(v zxOLlc%|gGn@V{JjWB}l=t-p$lh_V2Ugsdo?jDW0!sECp>t&Hf$$p4@~0ziJ%>G|W= z1l)fe^OfKA7a9B7HnOv^v9qQ7y*`d?PypZdCnI2fROWr!Vr6$$!EBL9n^ zex1Sp9!bc~*2&q4_cJni4gM74#{UfeXCbS9UZ20_PW~N4sQW)4|16jA zPmsSR(EO2R`KJgD{s-jWk}m%V^w%(!zduBQEDL`J8S(}0|TPHfwl6Wg|JV`9Gf?w@<#ef4(#=+4r+~LtIXySbrtY0!azUw z_v9PD2OGu@_~zKGDhr>+EoC3f8qRoiZ=4}L_MytF<%0o&-xsy*ceAOXH-;b4APy{n zoBXZTr544esfBh(Wk1^!nTg*Y#+_Zoi%(UrwT?u=a{y z_PMfZ{hYtMW~M^0(sMd6c)C4nzo)9a^gF+@@?e~i{H(y>#+p-des^_0EngURzmir* zHVq3{;LDy4JFolwY?>kXx(}?~@S54P)JAX{7BG+lqf9-ISStKW<$A@Z_3_at)oPEP zk9+Pm4>mFzYA1!X)B2qDJHW26GP_TK^M35)k5Q+~1twxWjOF2}_U5!;+jk4sO~=PD zB0iOU&EuxM9Z|>S)%(t7yLU`N@+7u-^6M3`|KmeMmEq?HE+|&KRV0zyE<}sxOs*WV zlm7GN8BfC@9^J_NgxB?|=fL#N=ff>`4lBH>bL{8o^%PeC@N?)lHnhU8rCP`9%U_&a zoPl0@;RS*dvYDjdl#lg*1n2~+GfAgk`VyiqKbFfV6m&E&bz(^UtjIWIQ*&u0ig|O% z(4rTa@i9P`yZ`uZl}36|hltIlZ4|nPR#x+*$^Ie5A0X|F#fbk|A&#cXy%LVJK_Wr-s%1G;>kG=1ss1(F`JsPrF6t=|ZTPp+46IZ*HMbA$$dCj&NLG&^`Abs*ddO!FnlNX=TNS#n zg3!msOIp-fR6^y$*jqi?yuu{ALB3)pxx~+CX&Ui<(A%@pV!Bcdw=ETb;VlApB7!W` zxr)kUQYPy;bF!~pK@t*!9HjkPFf3|e8c%2%+62ybL1wazhWs&NZ?8J!EtTIZv`Q8 z_<_C(onH$|kJdB_CJR}OvdllJ2-3p!vmW=(b`+B_w#y?~x|keJ`WEuX#mW`1r*L%! zr>7o#;*lx^ zyHXNyOiHWAk03tjn%QYJH+f{7)MD+R04SxmK3%)6`B`i;HEMoI}D;|2Ehe75<9 zPwINMV-KIczmf6_}C1m#%?Xl zapHRGy7skJENZB06WW7UPfdj7*w{0diA;*r^645V)^dm~=WpZc^K5}-^c%y=Ouh|1 zl0$F>vNa*X`oG65vE3qUK`4oaEN7F+=mBCn`oD8Ac_&(#R=6h$V&9m$d0(=PVoYvN4YZtFjm)&m#jEQlB-^;RrfIhj`q%yZ8JjCMyXa z%A4CFfP+X=Ds&+XW*eh%^VPP^#|gF!#QXw^9{`AXhISAvjx|%rm-L|@Pq*=z8)>=N zttT3z@R+-L#dKp778}SzQ;jtb3ta)hiH<}SD+ak$pg?V5gIFzL4&fq`)6lrmiV+r4 zm=qi=^eAhuMROn<NV(v`CE z4W|$zq7tHpQPyapkm1-v!<$nBB5JZRYDNQ9D0NR_tbc(&P+&eF^36egDM2U=CS_>) zXYy_;rQOG{^>^{OPekJ<7%VUHVoXyVfj2n{B#a=Gv@y2uzAd>wOv^RqVDdm|qLw4@ zH_sl;DQw9$u(DxUjMtAl*$E8R?Kj#?MhCWk_V00seaPO9$d_m(YlN^_kg%@>U5is? z-mwY-U(S9Fq{d!dBTQlC4uF2Z!3hb{6k?}C#?_yM7PfIB(FiE&*MRI8|3L@614Op~ z@L0OfY;A2R+u?;_VHW{iuTZjNYoab>Ij%HjxFN!t=0V`f=Qz6C@KeMJw&Aant5fZWl!fG&tnh8so56DlkE7@^7B#QTRUzd*N7${6djutoN{C7N# zaRO!3nXwYdw&TsLp;rW1$>7TDZo3+55+A49<>^lOL=s{+BMw>a;0HF!$&}~+(D!Hb zF&_8KSs#LAMFwPkvC9}3-}E1}rGI+{LedP$5W&t1(&*abTeF)QO*Xs1PA;JQ?m0~S zfigO=1fu=v2&N3x|HBc|RA>ogBC9Y5Ue5uN)ua(dNuE)Zxa3}FOEEbUM=}SdZ<20) z`w*;s3=iXD?wo4MvTgin&t4LA_LQJg@TP9wIhku+Tj7Ov20ZBudIJYew zVu`s5RS^#=>+&Y*sSDMgLG(f`qY5I6MO%ruIbqKTBvQOTk@U@`a1x|(wS^0>kqIFt zHl*+S9U2nH3sM3FeMh)hP2X2%jrD__n%9)$kvhp!QC7{LieKNBod?g-TM!?d=1O;d zz7??1l7rfw!R;Rt>eye5?>jF1LS!TXygbk@MX8S0SI_QB;8%wz>xKQi58_Am(mZbQ zdM-*ol4-{IwO_1-f1R%*_7uCWz$QRjNUbBMG}qadmL=jGOkgHtGJ$Wim2f^NtfYJp zs@f`@j5uR}aN@E$RBms*M*@CgQOnAgit}nC)0+AEloac_N-I1xa$%D_`ZJ$3M-$=)WJ5OkB@`tp);ia)(+Z7xHrhikSJaw(Sk z3>E~Wgb@!tGw#()tSFSr5D;~VSnTD}3fZWmsEbT)cS2Sxg-9{-_eTS3<%fRt4DF5- z*8n+2{z1bK!%*G|KbvjSL4KyTlmo%zDD6^Z4YAf^%GTtFni=63Ch*=ybV!Nv1)G*m zmor#UBTNRy@g|FehSO5g{5Gz|5RMBlcZ_O6~76lZ0dWMzE-?-W-k^+wahtAxKHEd-db%X{e+-M?3hLh zm&d&DtPFX(``KEfp-e-ddzh6Y=Ekx)P)eb%lTh=`N6oS+#VN{?Q?$(-v2L5g6Wo1r zoW3S!Z1vzKyY*0p1+yyLcA*H@$t%(6#k+q9_~zjk8SgZ~YPeaJeXS{}RpsFA?(M1E zi%8GC9#`bLy~OXJ%%PAidvYFUfr`}8H`o_Q}B+qd^KzX*vB4YuEz{b}T? zb9n##P?j05<0n5Qjrt*ml;=zc-sab$kz9amo!+aZHxdL`fYvn=$h*?3GAk9h42Ar1 za@_J;BTwArPe(srK&M&U(6X9_qeLWxZdK+da?`|r^mRPFz3~ow_x~*!!g#AhN?sC< zMpHX#Pj|VT`%31K7;!iGx?J*%`E%Ox8+zvZ^-hYsuZ<;Zr)JrVw<*pnX(fQ;UVJ;5 z|FhrXRq6h(9Meo-626yF#y5qM{?}}>x6=KEg3v@@5_DddxlwMi`-@lI>yTXdpA#!M zKC3B#Zx~DvQu;)MA+@MM=P4~KG2gz@JlC~RwLrLDJW$QMB!H=FHFCHq?=)HhDjtl| zapZuSV4(lWmn#rO_4S^mpf0RT-}UtKn=Y3Cx4xfG0V?)9v2Xok`%?_J8jPHn=yqkl zD|#vI94UiUAc~`wnvpITik4x?1tJk;bRGHf^FeB(ua3T$X1NemohUd>Z6unH zYzsz>m$o7c=AsOuDn&9A8t>jgD}G&IF@Yomki1^@+Bcb#+6Xi4+%d>3^=(xUi(A>g<|&p0spkGTaexquxiZvJ<&M_ z-vkYI3j78^p1HUz6Vgp(ELgbJ^(bk!g$l9))rfBG_jg$w2mzz_$-6q-QvUB_^vIZ9 zCQwIoxYJzNdE6hkw6yq*m1G+3Zhll)mt=@iKC%=_sE$r=6FuL{&;!l^1%m)tx`q@w zwr!vN0e%?@ipGb&EWr_Y>2*wPb3a0mT>0ubP--Nne zIJr~0hEby$+R>Bl zP7;1{%lK_a;e=rN6urtOB8bpW3<<{xJi;AM*J;S z5NRQ}VN+`AFVTKMr9mRIjea}3oq!e?d2JmUrz?r|dUyhlYk^>x_S4Tmoj+}OstGhW z;>O;-ApNAyx6Z}~eTbqWX+v@7%uH(#j%yAVJzwj2fg}@PQHA~tR+gY6%_8vyMxA$A z3PZ1Nfdq(Q`_U%*#Kpf$NZ2qk#&)77zi<4USE@j9Kp1zfE@&rUGFZjVJc~dhjN`-p z*pS~?K@+tXz;z>sz8)iwqUD@i!Q(p&%-b^~o;1(f_xCzISFb52)ww-hxI{c&Ye1CA zn4|@*R44bF&*k{$2Glbc*`Vhy9Q-gKE42e3?d9PgM#~KwfJ=Uo%Bl@4#`{8qf`hMb zw6Ory%o+zhA4YVF1zS&S~6x zgc0hJ&1WP0nC33#G5@?GzVWYQH(EIfH%|JymQG|vR-Sxb;>@c-q2AvM?Nrl7xGMy? z%J0q7krfuhDv$`sC=xGbsd1AEggOYXsWi~K{%AytAkjh5Kt=N4alD{qn7W^Lj-s9- zqYrGjul1Od9d=<@e`VcU9G{E2tz@6fVD#r(-s1d`^o|T}P!brLU%E zQiLEoL*}R~<-+S;1b2iX_seqfkaHQxA4OGU(o(wyfC_#31h^d;MyfRx@%H; z;MVF4idTL-@ax(iy3$yn7C?pgBjQpM1M$d^413aPt>OD3Nv7G=Z#`qFHXBqi=6UmF zf7%wP8zcumF5gqSyEHkQUpVwAqIS80(bz3B+a;K+c-xhG`!ELYML-kb0p=%kqZmpg z6)s~+S!$PBZ9R&z=4CE! zv!@anb#9Hb*?u(;8U1%!^7bgmRGU^U#lR>)If_EMc1PXupup@v8{A z7JK(zCIoat)qG05lM8s5vqu^gCjR1ceb>Q+)f@EHc@FL^Oaw=Z$MZzOh1LP<>nK4N z^V!pGQH&En(tAsx1OG^pjl!oXmlK&_?FqbFW<09Lo0KsXnG<_d4YezXW=itKW8*UP zQKk{*kbR?#o;H#!zD1~AD?D9Ax2#tX`g(iU0t`TbF2f`*-u1!e2Fs(DjUW;ba}u76 zXu}q>cR5UKH~Pt`q1i6xMg+X60g`25sJ$N93__$~&F8ps1?oqjVTE6rDzk+;ki77c z$4uU_ZB&!xT(d*c-pAq%R!3GSTpKG2!IgM8&EGS*q9!*Pre=1c4yc zV3hT?mH0LV8l{*cD%2RMmeOp4&N*VJ4seV=t_6T~+~0n745uT|q@nEv!&4}N&=nK^ z1=zD=?6%;Ub?kjJlnpnSE8M3QG*i^YXbr zaZ~%7&M2qXwc9?A2yXxoN>pu{vey!BxN74dwy7FR2%5iK0PM=KfC`bG)lhSKi+tlY zV93WG*pDeS=gOakqVc%xG<<?+p$1E>I^_Yl>fi9 zH`+hi+r-)Czi4k0M;j+cxBoETSAO2g1X`J8@X>{I@HF^;MrLfiIs{y2+!q!3hFzVi z#kL-pq+Qt!WvoSPy~!-gs48TYTchdJb+l8-IU~eKgbC2NU|gc)HlJx7Q6k%zgCWo& z2PsitT!>8%y6B=OdCk2phX>`uzR`6uZeF&%J_B~%2Q73;B^v6-^30q%5=`4dULLA9 zmlQ>KlYdN1=ebe)@j==C);|C6qyfalH9q>8g>`wH*6h^Bnf zM|(xYX2h(}Yy&K@a^rw7(Ne=9)U3ckmLrW0eE z6c3IbxoCXOfe}o@{QW>tBul>TfYGg!^HNVSa1yucwP1>La#h!J=zgo{#uyBUHW`L? zpi1y%`*)*9nkk^YCsV1%`+!;X51ogeH;L%Dw()%29y_-b*3~ii|GDPkDwoO z`c;Rt;TL;{q<(BA#n|v?@0ulEHfI;XN;}ni80v8X=1i+O(I=(0)<-L9F(@!QVP6X- zswP(bJn-T>Ssv-NiKP|3*03mw=e_37Hm~d2{miOPY#ey=C=^h)sH5dNqQ z1DQ@Mfr7@TMVStNlXo~kI#eurDM>e%;4s!*K-K@u3R;Prm|lsM z&*OnIo4eVE_JwGxxUN7E(`*+eOuHWExn6c6GyH`Yk~4 z^-MzP(!8zx%S5u()A&bES3GrdFE^b?$T0Afft=$BzasrwtMMS)oIbwA{h=}4!yF`P5YH69h-i|?Lw>!%- zkqVniTrv?8O+jY6lEA>Guf zKWz@!x;!TfC}pML(qxa)9Ik}L`VaV#F!*HF)(O>6Ms;)th+$!+C+`TkEVZH5Ge+Uq zQ!uOe|1H_*sWv_b2fl79DWAw$(TvJ(AbrUdB1dJO6gnqz{5f@E57bFgegD;Z{>z1J zkGcL?{LR6XhKj&HA5aAbot~GKeITZCfEHB)!Jv-u4jc9l|5TI34_z2L%_C5IdG zOGG2V`TK_>r_>c?O2*0P6B7K$5pmJi57+2xA!zWO>-NOVT&Vz_wayx|;2sJsUYWv# zst$h&RxWF2uhJ^DZfEVO7f382+;cSv!@18bAbLxQS1KVf3@1_t(L z+m}5SVK6$a*fOXrn^e)%?q)H8`R2KT$$*n|%Y?{1&O=+qM95$Cv`uGPNS_{#BhNT8C4YCvbAt!4N7TDd|#_3Ee^5?_uZBJ3PA0rpL}I7E&RJ=eDK=#RCyAwinmN&-6T*gPhd zh*}j|AsERK=L$eV(AQ=c1yQU80L_bP(QdIAt8c~%daA(9rC^Q1BQe1bR^U$TSp%Ls z4t|eJOCMC>NMKEwPlXD$5&!;2;!#0$pF+ia`RH5$NNqwS?iftM&h9nLw~Ym4|9!;w z5j-H>WJaiWTg7Uzl_?B9dtTF#=N9x&Zxd!e{}wTFO?`uBYj@qLFtaA&gZ-vC+JG~B zm#NaPBe*eqm#mhirV!`h6e#Go(PM0!ckbH39T*Hkc3U@nrT}z^X5sNl8Semsm$w;+ z@&5qL?)Q&0wCgG0?tmrFK7^$MYbusWjosc$qsCQ$msfIrITz zh_L7olrMiWXG6^0)$HhgXJ{>Ran+c)_X4}t(SP?9uv>wFV*i>VB5D%0snbRjsO|wI z)z*eVgw^p|vu(}bh5RvkuP}y-Zg)oFKCfK45Oh17@EBxh1pCawT~SD29;xF-TSgnx zX`>T}c>VeQa7qO|L|dUw9#=8K0nblJfrjZqua}=}c*u-^Q2e-h{X%3ZQoui_%RogVTMM#=59z5)o1Yq#6;P!{ljcGNI4P(MdD;CC z#KQO;;tZzd&<1~e3qgU$xIwrMFC2r_rcyll8oP)%yb%15!X8XII=@;!Pj6go77A*| z#>*naF2p$v$W{IsYcW&5dubbvr4u~fP^%AbeyF9dfyXMar9NR{noD=HQP@s1?468qSi4dD_;) z6Gl>&l0f-)JzT;U|F@oHU}ep4NgG<0^y7ynH~muAMIDb zqP7!67b;=PD?&?yhV{t|g#@o`6f>qPHl`_v!`z?71Z56QNK@iVhN9SQ)U->!wz>FI zON2XS_6y)%i;KoT#%3IDlLqIn=xOqC@VB_M0W9P#LR}0ipD~!vuq`_3zOze_u_8Tr z@A>4WTDL7Kw?qL)nYyKV6Y`{okPH!SCkL7EYm#^&$nRr+xk+nviGcV(kdQL8Hfcg* zyiGA9*sz|V0LFgWX!q1UcMz?l_+o&%A3l-?1 zo4<3IjbT)w(aIY*i<7{Z>#&$J21GChDY;ZdF5!E84_%0nb=uUKhD)eVzZ$4tz9@*9 zWDYD-5)hN=gKl&kc%hV3WGg8_qO(dbSh@dlLASbof&G)a_-{R<+$N#s*Rb2b?%Sec zJOqDFaHd7a=1GnALszwI@vXwEy5kB57m=z^DI_Pc9rA^D`S-I_P9#`io-L*IkxLy|lb^3H z2l9?-3;j;nekmS<*^eEF6mkAecEZ7V(YRYj1jV2>O_t91yXG&!=x2asJ4oV=rzTY< z@4<4vwL))06R6^=UhlK85=s97<7=c4M}(7^iT~*R!k+sLIZo8@YGmr?QR*#UOTr)( z(DvKy^fz)%V}l^)->JN4Z6VBt0GR7uStNX$7}ET|@cyzMATCr?vZ+8H;5IO!83E%% zCQ4d_5VZiNRgm3(AYDgn%!l7-ildjGT}Wnzy@0g9>hIVW4mfNIlZ1xJ8y$_#^_Y^v z?!(}pFqpLVs6$8D`uFNx4%tQ-YE)N|+zP>pUt|=NWNjCys1nkBik=nEpj&xbubnzU zV0p>XBHS_hL&mr7t&jkiWJNNqhEa`k$xoz3!x#h$@`!NfVCIn9bu1xr-u?=?*ef&^ zJG`ws&>{OPU4WUf+BE;mYlzEYn)1^YcQ<}gM~#lnd&grAXb?lz%0jesvWcmesJ zYDVUi<|QoiLlTEHg~qGDHV`UAgO#t}GNeQ3T@rM54rf*>&-FXBaxL$*Qeq3;WXZTi z;V0EaxcL%Q!OZplzJkCVHx}7ucItI0SmUMeTR5{;nUU(zzzXD}7HM*k|Mao$E{XhW z0fq;Z?aAe|DAl|0Q8wu4voNjg6@M1TB6MSH)s)W{|7}9kCH%^%v zY!ZnYo2Fm&AIv-`WrdA#bb+X1HQv>7qVi)3oQ!cmT#{uOGO+|3d|Jw(D?zU+qzazE z3%!Dd2nn^aAl-3go==5z%mig{9(4q8a8HHBqxmMgYNB+9T%+sAX8bndBHLi*D?A8P zS$-G~gGK$+-NJ{|JqiooXmG&+rq*xa*S z8NTt1S0}|64p6@mr1SATmVY)Ndr*dL5)(;Z-{>dzbWIL^1Uz|z)|~%gg?L3=;(OOT z&B)0a^(Ox&>bNV66RUGc_1<}!HM)t5LW)0teZ|RgC)Mw5Db06lAKKhoi?TVyc>*8V z>d(P6yE@-}rU%a`e{jY1QKlW;L8xTY02jKGxHYYE#aX(Sj)G~|Q9ZK6zP3Elv95ev z$wHTvKO6iw_?0gJSku)Behi8n;GVT0m?#0An(F>x6x;_V>|yc6W>%A=t#2II{#9w(;rqd_r1nA6 zaUf`74nx=NXrqWdn{VnUa*tAot*&_O=_lD8UN9~u?{%0e{B00)x4P1;54B%?+tXx) zutE{co7kSY7FwUOt|VrGWzgF*fQh!-0ZblSqCm(ia6^u#Ef<62RYkgH-&SZ0lHNC? zPatLq3gL6WNk~ouj+PZIKCbnBSKAnYD92&)ox(7y~Xc}W~;DRzBtJF4@{~t{iM`!Dd z(T{7s#36Wix;*snDZM2{fmJeCq6)xvpSEnvT97Nqor!syjf?ZA=4sVGnNYLa>SpuD zsL!!2g*JO`Lw2+Yu1p3@vBr-Vj_qsDVlxL3so1SBz@M+4~)Zf|m=E_y_|aj6n!2k?5m~hua)P%*9=%=cr*;&kp_k?@ z+#R5dW4oSD^p5b)LC4P^@b{D{X4ZIJBZNCW;xnyk z@QA8H){ft0k$Z4-$md6FW7TH@-`Z~A=9;5nb96EDzmIc2j=_0AGZ3wv3Y4^+g^t2TL0sC)(S5d&38YQiz2KhM}QXPaHc|8MojP z+*0R9I?~`fE8l<0#7WiBi4vNttX_$zJ*{uvY3s^L&V^qx-(j4KfZNAeAyNmK9QQY? z3a6S?>9jA}NpF=hiSM5RAzC~(4@!<7Rl;Tlt!1q~mDn3rzVjFNRjfJAP48ra;7dNu zFPRnZ0i^Z(AE;$Q9IbV5_ZQUksjaT2F|wmjJd=CPVrg{q!dOSmeG{kWnk9v`l0M( z=}r1Bw3Cuy70inj)So0lFD{k*shY`7LtEA|H+rrWvlYg4R>6rf`vvV7o{S9tBeOwc z#ZKScG~q;J!wmQ(yAsrnwJr*NFi!1@6?}aj_>ZPs-S@DolE6|KdWx^5*s#Odi#^!d(7XUW))Lv!)YsM$@)-^6}G z^QcTe_R9c>`=_cMw(NT!=J5lX$oG8c&PZpHTz|65nlUoAW3Wlv9M+s@G&a-39YtJ2 zpmzZz@0MP1!PWO~gjIwsI)$xkNm=1!&n2Jl`Sx+OU_EwhKR|@h3FZ@HG z8d|^^1vPBau@-LgnM*^xHv~R3Eeb21hF1Ddf8Dr8g$M2VF{g^$lN20yrZaiZo)fY; z`Un%rUvBH~pHm{sUMp^*;*cSStPJzTwTyv3$DTAu3Z?SnRIb5`YN41z;M6`ETAhi0 zEm2>85%6~J_@!Wkp9No+s0=Now^#Z1ZDh6dlH&Y$a8dEjK|g%{(f!ib3QpcLqpNhc|AP;YKe=6b(X{uXq`&2) zlUbQ4yv8)W4ezVal-q(Q%4R-b7&-D-dsS+k*{)9XI#3@v}HTLNfBP^niuh->lbeA95-0}}gs zb2(?0DDaQ&};h8<`6UB{OUU0Y{jkbv+p{ik3hBoOHd!iNDyv%RtI6reg5dB^|5i#ym_2gKb z0yh$pMhzTtPKXG`Wt0QhrqOv~(T(y@{Jj+^Pd_37av%&Z0NkJhjVvfnH#-nRiGL)|s(ftqXh(?7MB=bZb`)pjfm2HT>Q4-x|xl~ln#xF48K z+T8gr>{Nr;wP_uF3$ZsBJ$-_P7oVszN_^z?V{Mk5xyKv#&qe+((Hil*s7pdY@S$Lt zf=uu~Uff`G9lmZVjv&bB<6~7#W?-7YpU$yno>pIHY|8Ds(~`D6hURWa4^KiiWwggU zw}q#3AW7A6T`=>cEo11clfu9bg7zXEYzqEm>UO+W+nfeaB0jn2pXb)vLOr>RC-p95>SzrxZ`NvtW4;w`<)l!97U z(+L4Mxqnb|cwOMC1%Kg5jG?%|+J_6-NKXIl%=vVoh@Y+^VjCsNx6K9j(o*~LR52Bu zFvJnN=UcXn@1=m-{p@qEjnXay%@qPQNk9v4;UCNof9xggX7c&c7yhWltAIX&$e}RX z{Z?VKFNElSf3wQ&@=<4#IXhPh-d$qH#*-mtmw8GgAHC0#MOC=cxsrHgory;@nBwmw z857@@lXw9J)iH0lFh8>FmF+uZn|>RfMGU zZGCA%XjWk#Ty(@;*q|AA}q=EEQ7S{2_*PFQd> zFOM?)(3L=u>xeR)`qqJLx9%JD!^_%IQyBx-e7}wpFFoI0&OpFBH9hlGN0@#Vkj!*7 zHaC9N*z!*`%siDb7mLYdimAU(cNzR+7dhchN?%4`VA=|JA{x|G~t0Yws&ne$sAi@E)aHy~Z%&a&@)I(? z8p@cF%Qm2ZK+^EsdE3uR4@PB=hF_NQM})cE?<*$rR(3=%56}AMnwp0$NUjU*sUHyN zmNP>&E$1|9mNOF9@Mg5yfc$^T4pIUVb2S?cy;%9xODt2z-lW_0&1TngFru0=vW#O2qm``#Y4w|-~QQ-U7;d<9CA zJ1kRoGBiaL)j^VT7m-a$E5S>OyWX312V=$7CiZV&`RK9mceI|zr8D9@cIem;_%q@Z za77ECXeOePIIiG;&A>=L2JG$i%#U9E3Hc%fEu!U@gtCI5ekuL4u2XZ_FQsmdh zY;16`%^FzxtVje})f2Zz6fAkD9I**GRWiMELdg>^l$Oy^|IwYw2uoh6x(bwV(Tvo# zZA<-#!`p;FK$7UcLF%2-)wIDY^z!gwN^)yLLls=;&5e5K^3mYPRpjZaMLZW@_3Sw* zETXX-{eTDPeHr~n>H+SX7EwhC0>UW&AE^f@7zW6H=Q#dP8OVQf9RF|oUm1`8d-DHu j{2!&rf7|mvWy$}m8&Q^ng!<1Iuz$t>U%k)$pWgogHX-Yc literal 14428 zcmZ|$Q;;r96Sj%AZQEFFW3_GDeA>2c+pBHcwr$(CyXXD($^3gJCMzQY$?H z&MOt9LBY^~prD|D47##3f&LFe{4ch!HP$z_v$40ZHla7NuszncaK>9saa|ut&0S?h z3Jk?|^mc|Cm2s)mZs@-hNjw%L7=c>+|aC zR<0C+=4k}f%@592*sglIx;l)@5X~96T+W5eU49mc2PO2g-|KNlvR)A_iEi=q{5il3#uAX6#}+J@a?tj;8sM6EcS?f3C) z6W9Iz6Q)YE+(rCo0m81ves10;f8_dBaoVHzI$!7oIk9bAT{l|z%I`bMn-xpoE-v(| zK+u{_w9%+<+x-*(`pV-%VJW~SY}&Fl75>THbbj`6n&a`lhqV-Vf|wy0mC0Tm(4{z-=*N1?2+@jbeJL%@-orn{au)y{ut{j= zd6p)>)pohdE>T7>EQKt)wnM|O?d1HaPI̞d^Yeuuwb5fRoMT< z{io)sN@}f@jqHdXwKoY!ORY!OT}2};KS-#)fNnUbvZvLdQvwJxM$e2o)k|+XKKG!XIJ{&-#0UCbrm_Xb7fafwItRB zo6fZp+xhu(^HP-i}Z*c>Od#Qu~EhM9DmH zTcizMhq)5IJ&tnMe)=Bcu^QhMXMA@!@pC`Tb#Cf+oIbV)o_I11W_ukybZ`FLy*$=t z=8JF4rx;7kt!Wp6f}aW~GAP8;z&?QncoQ*-smBp?F!j)Y3)UG1oH*K7RPlgbCr{Y7 zJO+{51u)|4a(9rOh7u|H?!l+Kf=e_`L=T^NmXp0PPfn#w)PVFPOZktM7TJ-l`3Chr z&o0b4oNcy#Mv0{Xv+we;d8=-TelsJiAcd2A3P~V5(clyT~B&Eh#nukQhX&!ZX=5F6`_9|)!b5x`?IY@EX}UArOHqiObtYt{vM>|H z@}bSG3#hfC7$^%P(WSL9DKc@>_V&qG^{&-XQ#T^S7w1HHF67Km@VWA31W~mbzNbsp zlcx8W>~$4$HCFmgOp^g|3*`s%D#1<8T=O|~%f4aTH&*gRXyW~aFsvoE<3bcN-4LDy zX*kw_yxq>4wDU_1=`mWkY4*gUh4Yhz(Qpf_e_AG52J(X=#Nc6SC<`2(t0O+hW2^;S z2H64J{#f2Y_DKVXxU|z;8C8Q2k@$AZZ>9nYHKj=k64KrefG>cLbfs~Z^HZ08Ex5o8l|mH` zPn=|g!SZhS-drloq(o4CavEK0;@rsSPewFfAKB4{(O^-v3r?hMWA!2V2A%I88LR4h zEaPBtn)~P1xqGPHDkl1tf}i_gJy+Z^PXIlP;dejovD6Ql`P^>a`)z+V6B>>0{iT! zptd!oCE2XW!fEMWo$2G!VnczQkFA7;>EJ&eNxuwq=bUcrj|N08Yi$^5kEI7!5O|v> zY3V1{45R@^S=wD(EqjG0xV|T`XKsy&&AVBSUEm|)p3~SUpg1hm}I0W@m30gY}2QOrsKf zMT-h(Txxjk)49#eQ+Am;-ir95lYy;K`QZ5Md`3Pb`=Sj?6N$-QA~6rS+uxos{JxFiEFY*mM)r9hqdy)q!s3npuv2)V8K zf&s-L?aQ`jLz8C~eEJ$I*O*AVU=#G@92eH?c?+ffV5v+lSFRY8@b_h=#<36_*S9<@ zyMLjj#AVD0pKZ{ve+0g_M1j5W8rP*!UE=ij$^$gVq`yS2_ev7#1Bf6uBcW^DRZ?CfK`|GOyn9#-6Ao zkh25@JYN3r9Ib5ibZj-&GPIVHl{l5}{{RPq_upp>yHJdsfE89Jz)As))q8`@ zP;+_i%xx{6Vs4F)Yi6ikfP@+o<|W?xL-xn2sEj}&#u*AVPeil)+5J7*b=e$;*y%*r zD@-iaO;NKV^g1hFkefjC)%K6SLDCYfLG{{&{g5(D)lX$hv5v&6(=-f7i0K%>oR^Zv z4FpJo@UCxA8yA;ZxZVE65&pwdU{$Jmd;QBN|8TO_4`|kcWTn>$`sEH|rgeA?FmM1E zse;E8sxo4$iJ8JI)Hsp4EcQtfC4+0Fh2NJ`t751$Ob}WY&JPY6{YYDdm-~o?HUHP4WI5WBq{(!4l2zUXCDx5IKm-9l4w~0FSdjO61o$rJPOE4 z4-^W7b>1?MX~G(W3$!qvLUSq6UD)1F1^%l>TU1_37cC$jZbF*^9Zl4>$ex9^i^X>jC^DyntW_`wb3n+huM`g@ ziAEmiPDR)VGXOGhU1r^(Pqjf$G&o+UyFbb8cPj?J>ukO6MC{=`TmVERgcHw-XDo)4#2oJ-q?Kbrm|%k z8Ki7|8~l~?N{9MDH(J}RruUDoegclDSJ? zgH|oD#L&r`01IzhqOrV41R>;m$e4t{&eXuiVH?_HIj?)POD@z(NOZvVUV$cXU%&A7 zoI(-v${raAVaG5jpQZQ>(g^WSj(-UX?4)RYW}v+eD+D3xT^FaiLNI>i2})K>vBEafWTXghl2CBd074XyCl>8*L_g!?nU-&7|ny6NrHw2aW)jp zV8=9OE&IL+Aj10S3OLLEw@o24-u?5~WO;|@wkZ@8YB{Y9e#iP#))34%E<3`J=wQF-r_h47)`g~IV^gqROPHodJ9Gr2$ z1xZTN*LgHIporsdWa3QPt=Yu;H_q0{06%jyKaI$Tkv?vv?T7kr98|t$lQ3HHc-jv# zK8{3=3sur&@jPI{4Kt50b=Gd9y4MfziPfYfR4Ib7UzB*I)X%}xQ>j+O!Ih{#CCB|R zF$0P^AMyuRCA5JrF1zLC+RgW`5SM}OEe}3pg*c>DuIJ^VgE@Lb;Fv#u(#_Jp8f)$< zfGOTbnq?k49yoj(SO(JA#_R3(oqkC{GfD^J*a3uwhMSUR@k<32OCo#zSg5y^faK+u z_VtE+RXjZ^SHj_mRgI@Ri56)-Y#@P1s|`*w`)30DZ~$#cWj+_rZWQ84E*hUNPxjZB}1WHAh+uK5U>T#1n z!ONSKzzm~e)KM{Mi!-wns9W@+AwPaZMRMJ&|9e$vt8RO%`b8XjPZetdV%SfHBgaWi;D1LVc#New_@)Wa8xldI3$Tc>g--^W+A zo{X5?B+to{FY$Nfg@Se>79Ed)I=RWh_(Bc)H>dx3cLR^$bUb>qy(wSTBkMCuA z-cPQQ7b(?r!#&|b(gIPc|1Yd?#{2Iz;@0;9*iuw<-X=$5EO^4$!p63_g0NBto@5Ex zg%KoSmnX~z^aX4te_8B5uN-~*rkK##hXsHTDTsHI*>g#~V0PuE3{#a`tr4eeWCvIV z%2)ySE6-0~TiynR0OZy=isYhyU#OFNG&zZ6?6GC|YpdS#oywDZnVUPXT0K6Y!LbUk zLaVi>%XFI|uu3fuS-hz?0Ld202(Rt+VG^Vg7`JoL#FV@9AK&6dfF%YB!7{zq*#G}iaHJ>Vl3Q1pQZS79LT(8twXu{5?@l~G>+$ObeS6mEhYE(aS3t#YGvwl^tb-I+Rz5{dWIzQ27Mbh-q zB-o`GjudHhF0Z9MkVbe=hNj0RH_! z=L_LKkYXAYDN+dR?=Eyv;r6sYV2`-BJa@xu$W4x4D08bdjvT?tTQ1X$+@%GRR?vuX@yFXp(mZ**0P;%D$v4oX|~UQ6%KsG;K>0S5lskw$Bo zZvN6c83c(k5+XIFFWbQH6(Jp<%aBsF3xxA<4=q7(1J+2-a3&Q|pbR^0rf_X+I9SA1 z`2;G`k$wpxj(}8r+jfgh5of*zaS1TavP}DGtNcu=B&L`QZFaoW(0m;7Z!`_bHZZR& zDpqX+O)28b8?WPRX^H|_6fOKU`6y>^`#`humYBsuEkOjiG^*^>5)QjfZhCVIDWRAh z#ZB4Y2`RCty`vh_ROxuC0NRg>B*@ojaf>1dT@~)zD$6a4DFDP9qJ>hDbcS2O@Aph+ zElv=cv+dmRKkK*xEUh9xbZa~Iuf7uV?E5XcS$!q~UH^ZEQU=e~ot7eM>vAyS_!>5* z?j?BDGl~GYe9;l!u-7=~96NZ3?>AAX28UtfXD%1;N6nvp_N+wT@JoK{|+W&tEv|k5RlLcIlo~AuW3Ca8rjus{ai1z zjD;zabZ9D_E1c35kcx28bX$d!h^PZ!$t5#zD&o0ShR3xm%?r8`ztY%$g#I{pdE079 zYEghe{mApVp20}!N%nqcvY^<7m`rf@>rX}AhCSZ2+eMbfnH5=D$dSX))o_>6&(G;# z#!=2#SjTYC>7w9pUryOS{{kyWLqgeX&n1Xp00Ft_00Alde+tkj{|V5B_7?v?0ou^k z!p_#|e;V|)mxqG3dXH(7w7P^;(#`qe4f(m;M>qXR_fk}6DaEm#Y4o+q*joLlGrJrLm0`&mC5_*+G3_BlC}LtF_ZRhK zt&odWu*DDf@AyU-&V;Rn_*ZK`QK(qGo+?G1)N@+7rex!eKCR*I@Zn%DHFS$?yGm@1_fBR*}tNlzq5&E4{*Zt@_;btv9Q}=bPevs+= z+3|wBJ{I)RUbrrE@&Aed1bY~FMm{%@)MDnQ-X{d(&c+AH*z@3amK#k(s$KrP}9G$P{XF_P_yI1;dbUI}_}3^n|& zF|jf-vI-2^q1yUa7QYq_T_fWKTmy%3VD`Dr&QAmelOg7UP6gMd{>D#Yy+if}lQMvO zAq#r~!%OfuFKxdl6;`>oo)p$D#41$E$FQiQcGmatc&CsvtsSgT$_FZ6n53&jB_L^I z6})y57Ca_cK7Xb%x+Ev3AVb7@_S2h>UL5+);WJ|EWJ3lvD@eY0jwaW~!RDS~^GFC) zzoZ9lbNZ!f2A)WYRbyFcvZjGLAWdH}`+7>#z3Bwa`zb}Ws{8jkIvB>WQ9Xm5pVIdz z&pFYrXvy5gJW^Szx=_Z<4}9w7MJ(SUoQAoY4lm!i1MX!+c{9Wu@oxQZh!6r-YNuG0 zH3IUAmRU&mf?#<(p}OUsr@7~A@Pr-{j(3DNck^QN+{8$vfgic@MPE>CP8uz)Kv%gk zVRw;grH(U^(|T!;)Tky_@1ikssLKZEPkPGTHLNE2m+}x1Sra&&a-$(O{8s zUbkgQH_?36A9U%a22xgi{~@?ju6l-Uli7708r(pGpMCj0E5Jr>0K2I?Oer-3uGE!y zutddDj%%vVeqac6Aj+5aUx~s<1|0x3UAVQiEHY6sXIZ|TF-w`1tq$d!iq;?aKWcT| z8~E~h<#1f@W1__%OzVS(Mz~l&#rCqt3QqXX+4 zqShu>i@@i2MSRslZfg5?IXe49PKxI{pLAH;l97N5{Wn?5~um{dTd0ns%fZ%c!{9F z4*1Eqh(qG-izQAm(AN7aYzVDvR`Wj}k@qt?Os*YtP+F}u|mh{p4Bbd z`_D~dM0rJ|-xsItXAzAuZs5IY|9;oxqwyp=_)(K_mkOp7VLNy!H|qth(Co#!EdzGH zUP6(uPfck3;^Wix`-Cs4o9|@l9x`46Io+yE$WL=wGZ*r8qfk_BimzWe;7dmu$s^%_+uX&e7#Bh`?f2)?L^GW@R z6uEPhCUx_qTSdjp4D)fiV20psx(o`593&}r5gmfgl= zR__8ZCZJP58EbWwgz$BF@0%N?ENYkVMOH>e+Y7Co?fB5(vH*xlhDWf&dah46Dju z_TQ~WcgAwkkb2g+pUE`^6$8n{gylLvpgkeyH!nxWAm|pueX!Mn0^43D3QM z%X=L`4BKa6*Ui5Y_ygy!A{BKM&Qu*t`PsqAs)imD{t8>o2c$-RIQ|<}{X>Fj6I;5s z795iSXP0ug{KLbF43i8&$^~az?QT;)XT%7ROgZ{2ITuxz%=o9W^hlJGzDdH$|3+Iy z$0aL-g_2wYud|@;7q5toC$NQ-DE+nvHt>_cCt?bCg_#+BN_)@bUMn0dLRm`e^6@iN zt4$pvR2sVNA;s9T9fY+gTK2Kde2g(7F1x2SG4c|Vxgc=)vS-ITt2Kh~l4fj|kaOcU z!R(j7J&q>=(>J_Q+~@~0IKGNCuHUap+^;^)sVok$x*Z)6E0y*j1W>kic-Z&xp$*pjRiaa8wEUYdDD(Eg7 zMVJ(6Z;5KmbuVMC*B#w)02;YY*5{wGfqWqW>zTvui40-SqQ1~M$O3C?1S8JF*s{ba z(HjW7Ni)^Ch;@~`eNKaE3~NFiTM%wj{d9yxodahNHKntAF?arg5S#3JA;gDOd1q~Qa7J5Uz)M3mH{Vm zlCpK&=krjYMvzMHWcKdz3j}*yCjVyknCFdg$L{9Io-hahhLM-bd0n|Ukp>p@49q$2%9O+tmnK=39V)^LdM}x3lnba8BhaqYvs|${@$!LiKc)49X38S3Vc5;z&=)sOHcA&eu#xnC97`L!Ac{^oQwMnByC7 zekHy^e}5OsJtWoX_!s+Qh7rd8=^lWZB2ev=_|Et#PbzDpIFd5=p?+3(Efv%}8_|}y(+cSp%U_IgR%$p6c=C(uhbo>?LBUS7YTZQ8+Bz zx4H)YrDF&N zMv>>g#2qHBaU$7GB)$o+j#KwfVr0>>;Prf>z~~lKrkd&Tmai)$41uK(34pw(cF*fBO4i^)UKdHz8rdkl9#$1g7gfx|^8 zCiFXioON1jKyrfQj^x2&xe{k_ih*D=@^IgyL56-0CFr#^4h&$3^k?i=J()2}+T;x- z^kS<_k_HgMp+sq;4}#!vA1R@krhG7V#^#TV41^kuNa36?jA}!*CX)W9=>0gVm^-3|0EA zL;MRRMOr~)BpnFmqPZVD7dIdf9W=`1)#HtGK>I2mC=}+ENSY;~Iej&<78$)bmR_t5 z@Jq2c>T|Q8OtSlf#n1OdH zN(7B!GCsS?ZEp@o~k$8INbhd(2CqQiwA{N9$`oY zI=;R@)4+PB!Uk*q0005YFj&(+nBPnxV_HxO3cqk{@=$)S9A?Pn#DtgT|1Dpp#=`XN^p9+F(aA^ zOZ@yj3S1hE?6NMi$%B9^buA8Qh{f{{!7I?i)HC3mJ$EIP7X}@isDt7QCn$DAcw)fT zAQV0~tO^X3-4@(oF5@bp4J~x(EOjl3f(dc^+lOnL2_G@PGt5txGbwc2*v#}c(gLcR zq}qeP0~0?VFjcG4kj7*-ZY>w@T_<5#+#buAaC#vrI39QYfmsZI@ zfOVE|`(}9m;Yo9EGo95R1nctb{*cI+LqA;a{pd_mLFd{lTa@bJ)O+ZvbF2r}o2J@R4&jIFm_bn801FyDrqX^frVn>YXYKeu7J#NX5xD4g4jBA2?fc?@+C zuuS0qyuwVyYYDmqc?LWGT%6PVVkLn8P&*H-$_gCUf^_n_v;J5%eEDXr;rXd5WoU|G zX_TG#`>T6XXEZsjR_lvUCNWzoooI{z7NWY2`+~9_3d+k+252+TFi*X5O+*N$!Bb7| z=5C&aK;laErXQ!{CG%&~?CPV<3krtpXM1BPGHa^F0Snl<==tsc?xgY zx}+8D70UWR`j!43)kkA1!DKV9o#ON}pD1%N-<;Ob-BX1Kn@k$vN=0lw zVx_HjzA^ZQOL_M6&7Gw-bUX`&(&aMNwQZ3V&yz1NnJ$eywPqjaHv!lQ8jbILu37D1pJ?J_W?<@^; zB}_O_(pFb8OxI)nU33Zh$i~HAIjStv?s zW=LTrzy@_IzJfPZF!OYfB!tXVIzj^vz7xPjc?%AFui=(>3s$Nx8Sen= zGuC_gWZ0!L{E^I+NYprh4O}H~tBZaIS&cShn!269gj4N>F-in~w2xWk8bmv;<#T*K zRU-aAncQrJVlnT2Qe&E;`-r-FDr$odWxBF`z0)$y8n`ig&dK0WUh7tD(DBa$0XLt8 zc-3VEEpM#&mU=rOes)%VhKZ`Wje=~Qoxk|3dgdnZ#wn0c^>ZC*(V&c7=Cwl|LjmR2t89|7u!F!UYjr8$e9RB-f46?lxPNmG7{ygM6* zRRa4A9JM0Y8#sB&KrAwg4fq)-I$ipl9i9%G<}AqW_`~aQpsb*k))^I1piId(jJVQp zt0IB4G<>Y5Y7mI>4*T(px8`8;GFVLH{mxQ{%9L&qqQ~oVQnz%oM44yRY`!v4haLXb zbDw@CwyTDWX;XYE_iyMi%+%QhHF=`4hQ&QLDpjD-8v?|Q#Psev_-Ai#L|{Di$RNSV zpnjGTdi1r?U79VRHdFDSL|v`svgfyh|Aa*Yt-DJ$ezOP4)b)U1pH-SB;h+N7H)^Nt zu6(()-EE(w65F0%Ig8_OwUplHKPuWBkU3e*|*h7Pw??jw9-d1m-iL;4?-8dslwa3Q<$Krx;%Xi{7fRo#k_+b zh^{5{9Nws0?)xdCz$Ki$hs z9sYd4c@EemYBs#kf~j@D=F9^xa0dM435T6w>etqLq1h~HNG|xj2lOVb7KyeTAwBA~ z*Nj=-?T0hi{9! z;hx9+)B2$X9)6B33&_JAp+3-gC6)H4wW-J10*+u*_u?gdCy&-ucPbA-Fn^9PWIw8D zY-$J--%vuxpKmI`c+S_ZjdiZ;(oey(ho?FAxHHqm5ue!x@Bdue^RxY09vXugLmEw* zc`{n|oW+?eX)B|#aXs5`88&V(^QJ<`7IKpDvG9jsd_SH)$bef&C-`*?xqjFEFlJC+ z^EZQ2zRu90teMZ^8##k=mPMw246tjTkfO=S>xJr*QY(*t=}R70eMZG?(wf(QbTiM^;TdL?Ous!f84-&XijX77N|-+E;Ht(dG9MkJC% z1HG!Aq3RwaN%f}7QsC3Ba<`Mpr#AhQ<*r(rog(`I3E?0-Ja6sv@A2g$5_cD`_?>!9 zTjn@7($VK4q$K$AIlkgjNLk_I!NZBRxqs;Sex_^gs}x(Z@`qs^W9%u>l){J7(AYr46u|faPch=WAvbJ&f|| zo(GW*78a231dW>jr@dxn&+vUn%kZEHkuNL(W$%24Y)beQsN~*FK8nnyMKHupN<#KM z!lZ|oaJR8IPnn~A)Iaxm?14ts#=@;P?4hJ7bhQe$I59oiA9GATdwz1&0q9|c^kU!2 z-H=3|$L=M6zOL_nh-Pc+90Fw>b$lc)M8T=}=sd~1G zQNpQ{U7x>`^r`?NQk<^0Dv%Y^hxX0BL#$zg-?j2~{i*O5c==n#ig#_n!ajq;|M1*> zC2d>R-q_z1I@Cd-&T!K(;e@ z;~=Mfz{=w_8s_;Vv!&}4urr3Ld|>bN z8)QS{dE}Gas!Us?#xrOuSp%||`r6X7Z0&q+R&w0!MJ?By`#D-+R^U;Be+$gkXebng zf4c+y_gY38|2EENA_!{)|JLe9`Pe$?qB?(_4csp`lyAC=11G^~LyHM}X?L8_#*Z-l z)+e0qxNp2KDy>--uJL%aF#H39h3=l?MvQmFBv4V>TU*BsbaW0?KjAAcR{S#JcC!lS zLz|L<#ci>!8hg*D@8F~t8#8_N(DFjxzy>L*1;m|rj>^?)J@|(V@zK2P+YPr2b1}Grx*8}Y~ZGP{beW}nwDjJ zuEnf8IM>JK9&nTxSYH0mm2vFRmsFU6Ez!zynwl#Cuvz!1UQfs&#zrY|Llw z$oGA@0|O7))6W~u>$)jKG5?N>d7Grs2H`GRPU=+2>u4N?2;edLJh6FefgrXR;{9qV zl@_>LkniQ(oO-oP@Fzb^o@lpC@aM+IZTEX8q-p&^FybBJeJb*S=LT3@c{4G$FP~#f zyjFGlU>^6#$;`8Ixa6+Xz3Y)`caDf3jgVy~Z|U5tl1bUyGss)`F^aZin%{dB;@zv7 zZzWl5`*0+tqxeubgy#_AV=19+)Z4Ssd&JffWzo9vOHB2emquDd&Tz2pM=gT(RC@9= z2nwg5ouwW>4iuzY^QUCgnq{<1`@dp8Wsr2D=5mP3F&uUM-P1e({kzitW9YOT4)vs{ z(TqMrzLT~*(=7`#E77tNy#3J|)pL^9y=vx5{HM|IdeEBX`a$;50a?a4madDoG1IC> zpO3Tf3t~~G_iOqHfMqiMI_VaRF%mibGTG^7yUcX{{ovB6S+3*s{a{EuYzy)r_vfZu z9i|5T>HA@as#upKDfAsP!?x3?!LX;X&QitzVg?;!w3-Qy?xB8jm8ETj?!gDY-xrUC z?jdF8@sZ~tXgk!ubTm4_b@)iFGW@69e{}NNZ`flc7~lF{@+T3{4+0TNBEst2ma3X5 zm2ML+KQk=_n4dcp*{I}7h349+gud8Q#67yj6orrA8)`oOh4>#wL8~Lt2t^D8^d$X1 zjsh424e0-F<@-Mwi~qIq{r}tlqp|P*nf!kq{67ri|F(etu#NvWl%XIE4)MP|ApZ@Y L|K@Ry|E>NnH;*JR diff --git a/target/streams/compile/_global/_global/compileOutputs/previous b/target/streams/compile/_global/_global/compileOutputs/previous index b6a8aad..8692e93 100755 --- a/target/streams/compile/_global/_global/compileOutputs/previous +++ b/target/streams/compile/_global/_global/compileOutputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Core$$anon$1.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/common/Consts$.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/common/Instructions$.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Core$$anon$4.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Core$$anon$2.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Top$.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Memory$$anon$1.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/ImemPortIo.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Core.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Core$$anon$5.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/common/Consts.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Core$$anon$3.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/DmemPortIo.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Top$delayedInit$body.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Memory.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Top$$anon$1.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/common/Instructions.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Top.class","/home/gh0s7/nfs/project/micore/target/scala-2.13/zinc/inc_compile_2.13.zip"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core$$anon$1.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Consts$.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$delayedInit$body.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Instructions$.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core$$anon$4.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core$$anon$2.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$$anon$1.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Memory$$anon$1.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/ImemPortIo.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core$$anon$5.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Consts.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core$$anon$3.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/DmemPortIo.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Memory.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Instructions.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/zinc/inc_compile_2.13.zip"]] \ No newline at end of file diff --git a/target/streams/compile/_global/_global/discoveredMainClasses/data b/target/streams/compile/_global/_global/discoveredMainClasses/data index 4fb03e2..708003a 100755 --- a/target/streams/compile/_global/_global/discoveredMainClasses/data +++ b/target/streams/compile/_global/_global/discoveredMainClasses/data @@ -1 +1 @@ -["micore.Top"] \ No newline at end of file +["micore.TopOrigin"] \ No newline at end of file diff --git a/target/streams/compile/compile/_global/streams/out b/target/streams/compile/compile/_global/streams/out index e69de29..d01a34e 100755 --- a/target/streams/compile/compile/_global/streams/out +++ b/target/streams/compile/compile/_global/streams/out @@ -0,0 +1,4 @@ +[error] /run/media/gh0s7/Data/project/ddca2024/micore/src/main/scala/micore/Top.scala:25:9: not found: type TopOrigin +[error]  new TopOrigin, +[error]  ^ +[error] one error found diff --git a/target/streams/compile/compileIncremental/_global/streams/out b/target/streams/compile/compileIncremental/_global/streams/out index 324a162..297ce60 100755 --- a/target/streams/compile/compileIncremental/_global/streams/out +++ b/target/streams/compile/compileIncremental/_global/streams/out @@ -1,29 +1,28 @@ [debug] [zinc] IncrementalCompile ----------- [debug] IncrementalCompile.incrementalCompile -[debug] previous = Stamps for: 18 products, 5 sources, 2 libraries +[debug] previous = Stamps for: 19 products, 5 sources, 2 libraries [debug] current source = Set(${BASE}/src/main/scala/micore/Core.scala, ${BASE}/src/main/scala/micore/Top.scala, ${BASE}/src/main/scala/common/Instructions.scala, ${BASE}/src/main/scala/micore/Memory.scala, ${BASE}/src/main/scala/common/Consts.scala) -[debug] > initialChanges = InitialChanges(Changes(added = Set(), removed = Set(), changed = Set(${BASE}/src/main/scala/micore/Memory.scala, ${BASE}/src/main/scala/micore/Core.scala, ${BASE}/src/main/scala/micore/Top.scala), unmodified = ...),Set(),Set(),API Changes: Set()) +[debug] > initialChanges = InitialChanges(Changes(added = Set(), removed = Set(), changed = Set(${BASE}/src/main/scala/micore/Top.scala), unmodified = ...),Set(),Set(),API Changes: Set()) [debug]  [debug] Initial source changes: [debug]  removed: Set() [debug]  added: Set() -[debug]  modified: Set(${BASE}/src/main/scala/micore/Memory.scala, ${BASE}/src/main/scala/micore/Core.scala, ${BASE}/src/main/scala/micore/Top.scala) +[debug]  modified: Set(${BASE}/src/main/scala/micore/Top.scala) [debug] Invalidated products: Set() [debug] External API changes: API Changes: Set() [debug] Modified binary dependencies: Set() -[debug] Initial directly invalidated classes: Set(micore.ImemPortIo, micore.Core, micore.Memory, micore.Top, micore.DmemPortIo) +[debug] Initial directly invalidated classes: Set(micore.TopOrigin, micore.Top) [debug] Sources indirectly invalidated by: [debug]  product: Set() [debug]  binary dep: Set() [debug]  external source: Set() -[debug] All initially invalidated classes: Set(micore.ImemPortIo, micore.Core, micore.Memory, micore.Top, micore.DmemPortIo) -[debug] All initially invalidated sources:Set(${BASE}/src/main/scala/micore/Memory.scala, ${BASE}/src/main/scala/micore/Core.scala, ${BASE}/src/main/scala/micore/Top.scala) -[debug] Initial set of included nodes: micore.ImemPortIo, micore.Core, micore.Memory, micore.Top, micore.DmemPortIo -[debug] Recompiling all sources: number of invalidated sources > 50.0 percent of all sources +[debug] All initially invalidated classes: Set(micore.TopOrigin, micore.Top) +[debug] All initially invalidated sources:Set(${BASE}/src/main/scala/micore/Top.scala) +[debug] Initial set of included nodes: micore.TopOrigin, micore.Top [debug] compilation cycle 1 -[info] compiling 5 Scala sources to /home/gh0s7/nfs/project/micore/target/scala-2.13/classes ... +[info] compiling 1 Scala source to /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes ... [debug] Returning already retrieved and compiled bridge: /home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala2-sbt-bridge/2.13.12/scala2-sbt-bridge-2.13.12.jar. -[debug] [zinc] Running cached compiler 5718a57a for Scala compiler version 2.13.12 +[debug] [zinc] Running cached compiler 1b4d44c2 for Scala compiler version 2.13.12 [debug] [zinc] The Scala compiler is invoked with: [debug]  -language:reflectiveCalls [debug]  -deprecation @@ -34,6 +33,30 @@ [debug]  -bootclasspath [debug]  /home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar [debug]  -classpath -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar -[debug] Scala compilation took 4.734495736 s +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar +[debug] Invalidating (transitively) by inheritance from micore.TopOrigin... +[debug] Initial set of included nodes: micore.TopOrigin +[debug] Invalidated by transitive inheritance dependency: Set(micore.TopOrigin) +[debug] Change NamesChange(micore.TopOrigin,ModifiedNames(changes = UsedName(getCommands,[Default]), UsedName(notify,[Default]), UsedName(hasAutoSeed,[Default]), UsedName(atModuleBodyEnd,[Default]), UsedName(micore;TopOrigin;init;,[Default]), UsedName(io,[Default]), UsedName(seedOpt,[Default]), UsedName(getModulePortsAndLocators,[Default]), UsedName(_computeName,[Default]), UsedName(suggestName,[Default]), UsedName(forceName,[Default]), UsedName(_closed,[Default]), UsedName(_circuit,[Default]), UsedName(forceName$default$4,[Default]), UsedName(findPort,[Default]), UsedName(_namespace,[Default]), UsedName(_whereIOCreationIsDisallowed,[Default]), UsedName(equals,[Default]), UsedName(override_clock_=,[Default]), UsedName(_parent_=,[Default]), UsedName(pathName,[Default]), UsedName(checkPorts,[Default]), UsedName(hashCode,[Default]), UsedName(IO,[Default]), UsedName(isInstanceOf,[Default]), UsedName(_lastId,[Default]), UsedName(override_clock,[Default]), UsedName(desiredName,[Default]), UsedName(autoSeed,[Default]), UsedName(override_reset_=,[Default]), UsedName(_id,[Default]), UsedName(setRef$default$3,[Default]), UsedName(notifyAll,[Default]), UsedName(getIds,[Default]), UsedName(reset,[Default]), UsedName(portsContains,[Default]), UsedName(clock,[Default]), UsedName(_definitionIdentifier,[Default]), UsedName(endIOCreation,[Default]), UsedName(getModulePorts,[Default]), UsedName(forceAutoSeed,[Default]), UsedName(_errorContext,[Default]), UsedName(circuitName,[Default]), UsedName(override_reset,[Default]), UsedName(reifyTarget,[Default]), UsedName(name,[Default]), UsedName(_circuit_=,[Default]), UsedName(hasSeed,[Default]), UsedName(isFullyClosed,[Default]), UsedName(fullyClosedErrorMessages,[Default]), UsedName(_component,[Default]), UsedName(implicitReset,[Default]), UsedName(getChiselPorts,[Default]), UsedName(eq,[Default]), UsedName(memory,[Default]), UsedName(stagedSecretCommands,[Default]), UsedName(_bindIoInPlace,[Default]), UsedName(createSecretIO,[Default]), UsedName(setRef,[Default]), UsedName(_getSourceLocator,[Default]), UsedName(toString,[Default]), UsedName(isIOCreationAllowed,[Default]), UsedName(parentPathName,[Default]), UsedName(ne,[Default]), UsedName(##,[Default]), UsedName(portsSize,[Default]), UsedName(mkReset,[Default]), UsedName(toTarget,[Default]), UsedName($init$,[Default]), UsedName(secretConnection,[Default]), UsedName(secretPorts,[Default]), UsedName(resetType,[Default]), UsedName(disallowIO,[Default]), UsedName(getTarget,[Default]), UsedName(_traitModuleDefinitionIdentifierProposal,[Default]), UsedName(addSecretIO,[Default]), UsedName(==,[Default]), UsedName(definitionIdentifier,[Default]), UsedName(clone,[Default]), UsedName(addId,[Default]), UsedName(wait,[Default]), UsedName(getRef,[Default]), UsedName(implicitClock,[Default]), UsedName(_sourceInfo,[Default]), UsedName(getOptionRef,[Default]), UsedName(synchronized,[Default]), UsedName(_parent,[Default]), UsedName(modulePortsAskedFor,[Default]), UsedName(toRelativeTarget,[Default]), UsedName(_moduleDefinitionIdentifierProposal,[Default]), UsedName(parentModName,[Default]), UsedName(forceName$default$3,[Default]), UsedName(TopOrigin,[Default]), UsedName(asInstanceOf,[Default]), UsedName(finalize,[Default]), UsedName(reifyParent,[Default]), UsedName(isClosed,[Default]), UsedName(bindIoInPlace,[Default]), UsedName(core,[Default]), UsedName(_ids,[Default]), UsedName(exit,[Default]), UsedName(addCommand,[Default]), UsedName(generateComponent,[Default]), UsedName(!=,[Default]), UsedName(initializeInParent,[Default]), UsedName(toNamed,[Default]), UsedName(namePorts,[Default]), UsedName(toDefinitionCalled,[Default]), UsedName(_suggestNameCheck,[Default]), UsedName(toAbsoluteTarget,[Default]), UsedName(getClass,[Default]), UsedName(instanceName,[Default]))) invalidates 1 classes due to The micore.TopOrigin has the following regular definitions changed: +[debug]  UsedName(getCommands,[Default]), UsedName(notify,[Default]), UsedName(hasAutoSeed,[Default]), UsedName(atModuleBodyEnd,[Default]), UsedName(micore;TopOrigin;init;,[Default]), UsedName(io,[Default]), UsedName(seedOpt,[Default]), UsedName(getModulePortsAndLocators,[Default]), UsedName(_computeName,[Default]), UsedName(suggestName,[Default]), UsedName(forceName,[Default]), UsedName(_closed,[Default]), UsedName(_circuit,[Default]), UsedName(forceName$default$4,[Default]), UsedName(findPort,[Default]), UsedName(_namespace,[Default]), UsedName(_whereIOCreationIsDisallowed,[Default]), UsedName(equals,[Default]), UsedName(override_clock_=,[Default]), UsedName(_parent_=,[Default]), UsedName(pathName,[Default]), UsedName(checkPorts,[Default]), UsedName(hashCode,[Default]), UsedName(IO,[Default]), UsedName(isInstanceOf,[Default]), UsedName(_lastId,[Default]), UsedName(override_clock,[Default]), UsedName(desiredName,[Default]), UsedName(autoSeed,[Default]), UsedName(override_reset_=,[Default]), UsedName(_id,[Default]), UsedName(setRef$default$3,[Default]), UsedName(notifyAll,[Default]), UsedName(getIds,[Default]), UsedName(reset,[Default]), UsedName(portsContains,[Default]), UsedName(clock,[Default]), UsedName(_definitionIdentifier,[Default]), UsedName(endIOCreation,[Default]), UsedName(getModulePorts,[Default]), UsedName(forceAutoSeed,[Default]), UsedName(_errorContext,[Default]), UsedName(circuitName,[Default]), UsedName(override_reset,[Default]), UsedName(reifyTarget,[Default]), UsedName(name,[Default]), UsedName(_circuit_=,[Default]), UsedName(hasSeed,[Default]), UsedName(isFullyClosed,[Default]), UsedName(fullyClosedErrorMessages,[Default]), UsedName(_component,[Default]), UsedName(implicitReset,[Default]), UsedName(getChiselPorts,[Default]), UsedName(eq,[Default]), UsedName(memory,[Default]), UsedName(stagedSecretCommands,[Default]), UsedName(_bindIoInPlace,[Default]), UsedName(createSecretIO,[Default]), UsedName(setRef,[Default]), UsedName(_getSourceLocator,[Default]), UsedName(toString,[Default]), UsedName(isIOCreationAllowed,[Default]), UsedName(parentPathName,[Default]), UsedName(ne,[Default]), UsedName(##,[Default]), UsedName(portsSize,[Default]), UsedName(mkReset,[Default]), UsedName(toTarget,[Default]), UsedName($init$,[Default]), UsedName(secretConnection,[Default]), UsedName(secretPorts,[Default]), UsedName(resetType,[Default]), UsedName(disallowIO,[Default]), UsedName(getTarget,[Default]), UsedName(_traitModuleDefinitionIdentifierProposal,[Default]), UsedName(addSecretIO,[Default]), UsedName(==,[Default]), UsedName(definitionIdentifier,[Default]), UsedName(clone,[Default]), UsedName(addId,[Default]), UsedName(wait,[Default]), UsedName(getRef,[Default]), UsedName(implicitClock,[Default]), UsedName(_sourceInfo,[Default]), UsedName(getOptionRef,[Default]), UsedName(synchronized,[Default]), UsedName(_parent,[Default]), UsedName(modulePortsAskedFor,[Default]), UsedName(toRelativeTarget,[Default]), UsedName(_moduleDefinitionIdentifierProposal,[Default]), UsedName(parentModName,[Default]), UsedName(forceName$default$3,[Default]), UsedName(TopOrigin,[Default]), UsedName(asInstanceOf,[Default]), UsedName(finalize,[Default]), UsedName(reifyParent,[Default]), UsedName(isClosed,[Default]), UsedName(bindIoInPlace,[Default]), UsedName(core,[Default]), UsedName(_ids,[Default]), UsedName(exit,[Default]), UsedName(addCommand,[Default]), UsedName(generateComponent,[Default]), UsedName(!=,[Default]), UsedName(initializeInParent,[Default]), UsedName(toNamed,[Default]), UsedName(namePorts,[Default]), UsedName(toDefinitionCalled,[Default]), UsedName(_suggestNameCheck,[Default]), UsedName(toAbsoluteTarget,[Default]), UsedName(getClass,[Default]), UsedName(instanceName,[Default]). +[debug]  > by transitive inheritance: Set(micore.TopOrigin) +[debug]  >  +[debug]  >  +[debug]   +[debug] Invalidating (transitively) by inheritance from micore.Top... +[debug] Initial set of included nodes: micore.Top +[debug] Invalidated by transitive inheritance dependency: Set(micore.Top) +[debug] Change NamesChange(micore.Top,ModifiedNames(changes = UsedName(getCommands,[Default]), UsedName(notify,[Default]), UsedName(hasAutoSeed,[Default]), UsedName(atModuleBodyEnd,[Default]), UsedName(io,[Default]), UsedName(seedOpt,[Default]), UsedName(getModulePortsAndLocators,[Default]), UsedName(_computeName,[Default]), UsedName(suggestName,[Default]), UsedName(forceName,[Default]), UsedName(_closed,[Default]), UsedName(_circuit,[Default]), UsedName(forceName$default$4,[Default]), UsedName(findPort,[Default]), UsedName(_namespace,[Default]), UsedName(_whereIOCreationIsDisallowed,[Default]), UsedName(equals,[Default]), UsedName(override_clock_=,[Default]), UsedName(_parent_=,[Default]), UsedName(pathName,[Default]), UsedName(checkPorts,[Default]), UsedName(hashCode,[Default]), UsedName(IO,[Default]), UsedName(isInstanceOf,[Default]), UsedName(_lastId,[Default]), UsedName(override_clock,[Default]), UsedName(desiredName,[Default]), UsedName(autoSeed,[Default]), UsedName(override_reset_=,[Default]), UsedName(_id,[Default]), UsedName(setRef$default$3,[Default]), UsedName(notifyAll,[Default]), UsedName(getIds,[Default]), UsedName(reset,[Default]), UsedName(Top,[Default]), UsedName(portsContains,[Default]), UsedName(clock,[Default]), UsedName(_definitionIdentifier,[Default]), UsedName(endIOCreation,[Default]), UsedName(getModulePorts,[Default]), UsedName(forceAutoSeed,[Default]), UsedName(_errorContext,[Default]), UsedName(circuitName,[Default]), UsedName(override_reset,[Default]), UsedName(reifyTarget,[Default]), UsedName(name,[Default]), UsedName(_circuit_=,[Default]), UsedName(hasSeed,[Default]), UsedName(isFullyClosed,[Default]), UsedName(fullyClosedErrorMessages,[Default]), UsedName(_component,[Default]), UsedName(implicitReset,[Default]), UsedName(getChiselPorts,[Default]), UsedName(eq,[Default]), UsedName(memory,[Default]), UsedName(stagedSecretCommands,[Default]), UsedName(_bindIoInPlace,[Default]), UsedName(createSecretIO,[Default]), UsedName(setRef,[Default]), UsedName(_getSourceLocator,[Default]), UsedName(toString,[Default]), UsedName(isIOCreationAllowed,[Default]), UsedName(parentPathName,[Default]), UsedName(ne,[Default]), UsedName(##,[Default]), UsedName(portsSize,[Default]), UsedName(mkReset,[Default]), UsedName(micore;Top;init;,[Default]), UsedName(toTarget,[Default]), UsedName($init$,[Default]), UsedName(secretConnection,[Default]), UsedName(secretPorts,[Default]), UsedName(resetType,[Default]), UsedName(disallowIO,[Default]), UsedName(getTarget,[Default]), UsedName(_traitModuleDefinitionIdentifierProposal,[Default]), UsedName(addSecretIO,[Default]), UsedName(==,[Default]), UsedName(definitionIdentifier,[Default]), UsedName(clone,[Default]), UsedName(addId,[Default]), UsedName(wait,[Default]), UsedName(getRef,[Default]), UsedName(implicitClock,[Default]), UsedName(_sourceInfo,[Default]), UsedName(getOptionRef,[Default]), UsedName(synchronized,[Default]), UsedName(_parent,[Default]), UsedName(modulePortsAskedFor,[Default]), UsedName(toRelativeTarget,[Default]), UsedName(_moduleDefinitionIdentifierProposal,[Default]), UsedName(parentModName,[Default]), UsedName(forceName$default$3,[Default]), UsedName(asInstanceOf,[Default]), UsedName(finalize,[Default]), UsedName(reifyParent,[Default]), UsedName(isClosed,[Default]), UsedName(bindIoInPlace,[Default]), UsedName(core,[Default]), UsedName(_ids,[Default]), UsedName(exit,[Default]), UsedName(addCommand,[Default]), UsedName(generateComponent,[Default]), UsedName(!=,[Default]), UsedName(initializeInParent,[Default]), UsedName(toNamed,[Default]), UsedName(namePorts,[Default]), UsedName(toDefinitionCalled,[Default]), UsedName(_suggestNameCheck,[Default]), UsedName(toAbsoluteTarget,[Default]), UsedName(getClass,[Default]), UsedName(instanceName,[Default]))) invalidates 1 classes due to The micore.Top has the following regular definitions changed: +[debug]  UsedName(getCommands,[Default]), UsedName(notify,[Default]), UsedName(hasAutoSeed,[Default]), UsedName(atModuleBodyEnd,[Default]), UsedName(io,[Default]), UsedName(seedOpt,[Default]), UsedName(getModulePortsAndLocators,[Default]), UsedName(_computeName,[Default]), UsedName(suggestName,[Default]), UsedName(forceName,[Default]), UsedName(_closed,[Default]), UsedName(_circuit,[Default]), UsedName(forceName$default$4,[Default]), UsedName(findPort,[Default]), UsedName(_namespace,[Default]), UsedName(_whereIOCreationIsDisallowed,[Default]), UsedName(equals,[Default]), UsedName(override_clock_=,[Default]), UsedName(_parent_=,[Default]), UsedName(pathName,[Default]), UsedName(checkPorts,[Default]), UsedName(hashCode,[Default]), UsedName(IO,[Default]), UsedName(isInstanceOf,[Default]), UsedName(_lastId,[Default]), UsedName(override_clock,[Default]), UsedName(desiredName,[Default]), UsedName(autoSeed,[Default]), UsedName(override_reset_=,[Default]), UsedName(_id,[Default]), UsedName(setRef$default$3,[Default]), UsedName(notifyAll,[Default]), UsedName(getIds,[Default]), UsedName(reset,[Default]), UsedName(Top,[Default]), UsedName(portsContains,[Default]), UsedName(clock,[Default]), UsedName(_definitionIdentifier,[Default]), UsedName(endIOCreation,[Default]), UsedName(getModulePorts,[Default]), UsedName(forceAutoSeed,[Default]), UsedName(_errorContext,[Default]), UsedName(circuitName,[Default]), UsedName(override_reset,[Default]), UsedName(reifyTarget,[Default]), UsedName(name,[Default]), UsedName(_circuit_=,[Default]), UsedName(hasSeed,[Default]), UsedName(isFullyClosed,[Default]), UsedName(fullyClosedErrorMessages,[Default]), UsedName(_component,[Default]), UsedName(implicitReset,[Default]), UsedName(getChiselPorts,[Default]), UsedName(eq,[Default]), UsedName(memory,[Default]), UsedName(stagedSecretCommands,[Default]), UsedName(_bindIoInPlace,[Default]), UsedName(createSecretIO,[Default]), UsedName(setRef,[Default]), UsedName(_getSourceLocator,[Default]), UsedName(toString,[Default]), UsedName(isIOCreationAllowed,[Default]), UsedName(parentPathName,[Default]), UsedName(ne,[Default]), UsedName(##,[Default]), UsedName(portsSize,[Default]), UsedName(mkReset,[Default]), UsedName(micore;Top;init;,[Default]), UsedName(toTarget,[Default]), UsedName($init$,[Default]), UsedName(secretConnection,[Default]), UsedName(secretPorts,[Default]), UsedName(resetType,[Default]), UsedName(disallowIO,[Default]), UsedName(getTarget,[Default]), UsedName(_traitModuleDefinitionIdentifierProposal,[Default]), UsedName(addSecretIO,[Default]), UsedName(==,[Default]), UsedName(definitionIdentifier,[Default]), UsedName(clone,[Default]), UsedName(addId,[Default]), UsedName(wait,[Default]), UsedName(getRef,[Default]), UsedName(implicitClock,[Default]), UsedName(_sourceInfo,[Default]), UsedName(getOptionRef,[Default]), UsedName(synchronized,[Default]), UsedName(_parent,[Default]), UsedName(modulePortsAskedFor,[Default]), UsedName(toRelativeTarget,[Default]), UsedName(_moduleDefinitionIdentifierProposal,[Default]), UsedName(parentModName,[Default]), UsedName(forceName$default$3,[Default]), UsedName(asInstanceOf,[Default]), UsedName(finalize,[Default]), UsedName(reifyParent,[Default]), UsedName(isClosed,[Default]), UsedName(bindIoInPlace,[Default]), UsedName(core,[Default]), UsedName(_ids,[Default]), UsedName(exit,[Default]), UsedName(addCommand,[Default]), UsedName(generateComponent,[Default]), UsedName(!=,[Default]), UsedName(initializeInParent,[Default]), UsedName(toNamed,[Default]), UsedName(namePorts,[Default]), UsedName(toDefinitionCalled,[Default]), UsedName(_suggestNameCheck,[Default]), UsedName(toAbsoluteTarget,[Default]), UsedName(getClass,[Default]), UsedName(instanceName,[Default]). +[debug]  > by transitive inheritance: Set(micore.Top) +[debug]  >  +[debug]  >  +[debug]   +[debug] New invalidations: +[debug] Initial set of included nodes:  +[debug] Previously invalidated, but (transitively) depend on new invalidations: +[debug] Final step, transitive dependencies: +[debug]  Set() +[debug] No classes were invalidated. +[debug] Scala compilation took 1.610209372 s [debug] done compiling diff --git a/target/streams/compile/exportedProductJars/_global/streams/export b/target/streams/compile/exportedProductJars/_global/streams/export index d173bb5..671555b 100755 --- a/target/streams/compile/exportedProductJars/_global/streams/export +++ b/target/streams/compile/exportedProductJars/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/target/scala-2.13/-name-_2.13-0.1.0.jar +/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar diff --git a/target/streams/compile/exportedProducts/_global/streams/export b/target/streams/compile/exportedProducts/_global/streams/export index 48eb7f1..21cdc14 100755 --- a/target/streams/compile/exportedProducts/_global/streams/export +++ b/target/streams/compile/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/target/scala-2.13/classes +/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes diff --git a/target/streams/compile/incOptions/_global/streams/out b/target/streams/compile/incOptions/_global/streams/out index 7d21078..4ea3977 100755 --- a/target/streams/compile/incOptions/_global/streams/out +++ b/target/streams/compile/incOptions/_global/streams/out @@ -1,59 +1,19 @@ -[debug] Created transactional ClassFileManager with tempDir = /home/gh0s7/nfs/project/micore/target/scala-2.13/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes.bak [debug] About to delete class files: -[debug]  Core$$anon$1.class -[debug]  Consts$.class -[debug]  Instructions$.class -[debug]  Core$$anon$4.class -[debug]  Core$$anon$2.class -[debug]  Top$.class -[debug]  Memory$$anon$1.class -[debug]  ImemPortIo.class -[debug]  Core.class -[debug]  Core$$anon$5.class -[debug]  Consts.class -[debug]  Core$$anon$3.class -[debug]  DmemPortIo.class -[debug]  Top$delayedInit$body.class -[debug]  Memory.class +[debug]  TopOrigin$delayedInit$body.class +[debug]  TopOrigin.class [debug]  Top$$anon$1.class -[debug]  Instructions.class +[debug]  TopOrigin$.class [debug]  Top.class [debug] We backup class files: -[debug]  Core$$anon$1.class -[debug]  Consts$.class -[debug]  Instructions$.class -[debug]  Core$$anon$4.class -[debug]  Core$$anon$2.class -[debug]  Top$.class -[debug]  Memory$$anon$1.class -[debug]  ImemPortIo.class -[debug]  Core.class -[debug]  Core$$anon$5.class -[debug]  Consts.class -[debug]  Core$$anon$3.class -[debug]  DmemPortIo.class -[debug]  Top$delayedInit$body.class -[debug]  Memory.class +[debug]  TopOrigin$delayedInit$body.class +[debug]  TopOrigin.class [debug]  Top$$anon$1.class -[debug]  Instructions.class +[debug]  TopOrigin$.class [debug]  Top.class [debug] Registering generated classes: -[debug]  Core$$anon$1.class -[debug]  Consts$.class -[debug]  Instructions$.class -[debug]  Core$$anon$4.class -[debug]  Core$$anon$2.class -[debug]  Top$.class -[debug]  Memory$$anon$1.class -[debug]  ImemPortIo.class -[debug]  Core.class -[debug]  Core$$anon$5.class -[debug]  Consts.class -[debug]  Core$$anon$3.class -[debug]  DmemPortIo.class -[debug]  Top$delayedInit$body.class -[debug]  Memory.class -[debug]  Top$$anon$1.class -[debug]  Instructions.class -[debug]  Top.class -[debug] Removing the temporary directory used for backing up class files: /home/gh0s7/nfs/project/micore/target/scala-2.13/classes.bak +[debug]  TopOrigin$.class +[debug]  TopOrigin$delayedInit$body.class +[debug]  TopOrigin.class +[debug]  TopOrigin$$anon$1.class +[debug] Removing the temporary directory used for backing up class files: /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes.bak diff --git a/target/streams/compile/packageBin/_global/streams/inputs b/target/streams/compile/packageBin/_global/streams/inputs index b12e6c3..c77af77 100755 --- a/target/streams/compile/packageBin/_global/streams/inputs +++ b/target/streams/compile/packageBin/_global/streams/inputs @@ -1 +1 @@ --1409454022 \ No newline at end of file +-153958634 \ No newline at end of file diff --git a/target/streams/compile/packageBin/_global/streams/out b/target/streams/compile/packageBin/_global/streams/out index d1041b8..594010b 100755 --- a/target/streams/compile/packageBin/_global/streams/out +++ b/target/streams/compile/packageBin/_global/streams/out @@ -1,43 +1,43 @@ -[debug] Packaging /home/gh0s7/nfs/project/micore/target/scala-2.13/-name-_2.13-0.1.0.jar ... +[debug] Packaging /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar ... [debug] Input file mappings: [debug]  common -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/common +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common [debug]  common/Consts$.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/common/Consts$.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Consts$.class [debug]  common/Consts.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/common/Consts.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Consts.class [debug]  common/Instructions$.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/common/Instructions$.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Instructions$.class [debug]  common/Instructions.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/common/Instructions.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Instructions.class [debug]  micore -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore -[debug]  micore/Core.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Core.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore [debug]  micore/Core$$anon$1.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Core$$anon$1.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core$$anon$1.class [debug]  micore/Core$$anon$2.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Core$$anon$2.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core$$anon$2.class [debug]  micore/Core$$anon$3.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Core$$anon$3.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core$$anon$3.class [debug]  micore/Core$$anon$4.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Core$$anon$4.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core$$anon$4.class [debug]  micore/Core$$anon$5.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Core$$anon$5.class -[debug]  micore/ImemPortIo.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/ImemPortIo.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core$$anon$5.class +[debug]  micore/Core.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core.class [debug]  micore/DmemPortIo.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/DmemPortIo.class -[debug]  micore/Memory.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Memory.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/DmemPortIo.class +[debug]  micore/ImemPortIo.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/ImemPortIo.class [debug]  micore/Memory$$anon$1.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Memory$$anon$1.class -[debug]  micore/Top.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Top.class -[debug]  micore/Top$.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Top$.class -[debug]  micore/Top$$anon$1.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Top$$anon$1.class -[debug]  micore/Top$delayedInit$body.class -[debug]  /home/gh0s7/nfs/project/micore/target/scala-2.13/classes/micore/Top$delayedInit$body.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Memory$$anon$1.class +[debug]  micore/Memory.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Memory.class +[debug]  micore/TopOrigin$$anon$1.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$$anon$1.class +[debug]  micore/TopOrigin$.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$.class +[debug]  micore/TopOrigin$delayedInit$body.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$delayedInit$body.class +[debug]  micore/TopOrigin.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin.class [debug] Done packaging. diff --git a/target/streams/compile/packageBin/_global/streams/output b/target/streams/compile/packageBin/_global/streams/output index 4b88d9a..ccc866e 100755 --- a/target/streams/compile/packageBin/_global/streams/output +++ b/target/streams/compile/packageBin/_global/streams/output @@ -1 +1 @@ -210211276 \ No newline at end of file +-1359482768 \ No newline at end of file diff --git a/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export b/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export index 67820d5..112ae53 100755 --- a/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export +++ b/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/target/scala-2.13/-name-_2.13-0.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar +/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar diff --git a/target/streams/runtime/exportedProductJars/_global/streams/export b/target/streams/runtime/exportedProductJars/_global/streams/export index d173bb5..671555b 100755 --- a/target/streams/runtime/exportedProductJars/_global/streams/export +++ b/target/streams/runtime/exportedProductJars/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/target/scala-2.13/-name-_2.13-0.1.0.jar +/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar diff --git a/target/streams/runtime/fullClasspathAsJars/_global/streams/export b/target/streams/runtime/fullClasspathAsJars/_global/streams/export index 67820d5..112ae53 100755 --- a/target/streams/runtime/fullClasspathAsJars/_global/streams/export +++ b/target/streams/runtime/fullClasspathAsJars/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/target/scala-2.13/-name-_2.13-0.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar +/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar diff --git a/target/streams/runtime/internalDependencyAsJars/_global/streams/export b/target/streams/runtime/internalDependencyAsJars/_global/streams/export index d173bb5..671555b 100755 --- a/target/streams/runtime/internalDependencyAsJars/_global/streams/export +++ b/target/streams/runtime/internalDependencyAsJars/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/nfs/project/micore/target/scala-2.13/-name-_2.13-0.1.0.jar +/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar