From b4cb98d8a9126da7683d9a44635fb9b20e58ab9f Mon Sep 17 00:00:00 2001 From: CGH0S7 <776459475@qq.com> Date: Tue, 31 Dec 2024 01:30:15 +0800 Subject: [PATCH] Add single cycle edition --- TopOrigin.sv | 489 +++++++----------- .../scala-2.12/sbt-1.0/sync/copy-resource | 1 + .../sbt-1.0/update/update_cache_2.12/inputs | 1 + .../sbt-1.0/update/update_cache_2.12/output | 1 + .../_global/_global/_global/streams/out | 0 .../csrConfiguration/_global/streams/out | 0 .../_global/csrProject/_global/streams/out | 0 .../streams/update_cache_2.12/input_dsp | 1 + .../streams/update_cache_2.12/output_dsp | 1 + .../ivyConfiguration/_global/streams/out | 0 .../_global/ivySbt/_global/streams/out | 0 .../moduleSettings/_global/streams/out | 0 .../projectDescriptors/_global/streams/out | 0 .../_global/streams/out | 0 .../_global/update/_global/streams/out | 3 + .../_global/_global/compileOutputs/previous | 1 + .../_global/discoveredMainClasses/data | 1 + .../compile/bspReporter/_global/streams/out | 0 .../compile/compile/_global/streams/out | 0 .../compileIncremental/_global/streams/export | 0 .../compileIncremental/_global/streams/out | 6 + .../compile/copyResources/_global/streams/out | 2 + .../_global/streams/export | 1 + .../exportedProducts/_global/streams/export | 1 + .../_global/streams/export | 1 + .../compile/incOptions/_global/streams/out | 5 + .../_global/streams/export | 1 + .../_global/streams/out | 0 .../managedClasspath/_global/streams/export | 1 + .../compile/scalacOptions/_global/streams/out | 0 .../unmanagedClasspath/_global/streams/export | 1 + .../unmanagedClasspath/_global/streams/out | 0 .../unmanagedJars/_global/streams/export | 1 + .../_global/streams/export | 1 + .../exportedProducts/_global/streams/export | 1 + .../_global/streams/export | 1 + .../fullClasspath/_global/streams/export | 1 + .../_global/streams/export | 1 + .../_global/streams/out | 0 .../managedClasspath/_global/streams/export | 1 + .../unmanagedClasspath/_global/streams/export | 1 + .../unmanagedClasspath/_global/streams/out | 0 .../unmanagedJars/_global/streams/export | 1 + ...91ba.cache => $3584e5917ebead612fb4.cache} | 0 ...0a85.cache => $8336910b880f89dfa68a.cache} | 0 .../scala-2.12/sbt-1.0/sync/copy-resource | 1 + .../sbt-1.0/update/update_cache_2.12/inputs | 1 + .../sbt-1.0/update/update_cache_2.12/output | 1 + .../_global/_global/_global/streams/out | 0 .../csrConfiguration/_global/streams/out | 0 .../_global/csrProject/_global/streams/out | 0 .../streams/update_cache_2.12/input_dsp | 1 + .../streams/update_cache_2.12/output_dsp | 1 + .../ivyConfiguration/_global/streams/out | 0 .../_global/ivySbt/_global/streams/out | 0 .../moduleSettings/_global/streams/out | 0 .../projectDescriptors/_global/streams/out | 0 .../_global/streams/out | 0 .../_global/update/_global/streams/out | 3 + .../_global/_global/compileOutputs/previous | 1 + .../_global/discoveredMainClasses/data | 1 + .../compile/bspReporter/_global/streams/out | 0 .../compile/compile/_global/streams/out | 0 .../compileIncremental/_global/streams/export | 0 .../compileIncremental/_global/streams/out | 6 + .../compile/copyResources/_global/streams/out | 2 + .../_global/streams/export | 1 + .../exportedProducts/_global/streams/export | 1 + .../_global/streams/export | 1 + .../compile/incOptions/_global/streams/out | 5 + .../_global/streams/export | 1 + .../_global/streams/out | 0 .../managedClasspath/_global/streams/export | 1 + .../compile/scalacOptions/_global/streams/out | 0 .../unmanagedClasspath/_global/streams/export | 1 + .../unmanagedClasspath/_global/streams/out | 0 .../unmanagedJars/_global/streams/export | 1 + .../_global/streams/export | 1 + .../exportedProducts/_global/streams/export | 1 + .../_global/streams/export | 1 + .../fullClasspath/_global/streams/export | 1 + .../_global/streams/export | 1 + .../_global/streams/out | 0 .../managedClasspath/_global/streams/export | 1 + .../unmanagedClasspath/_global/streams/export | 1 + .../unmanagedClasspath/_global/streams/out | 0 .../unmanagedJars/_global/streams/export | 1 + ...2407.cache => $2b8c65d0a3a3f42e1015.cache} | 0 ...fbe4.cache => $948efd6ee3ba8f09824e.cache} | 0 ...c888.cache => $add241eb0c1a6339f011.cache} | 0 ...c74c.cache => $eac2b8278586e49cb092.cache} | 0 .../streams/update_cache_2.12/output_dsp | 2 +- .../_global/_global/compileOutputs/previous | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../compile/incOptions/_global/streams/out | 6 +- .../_global/streams/export | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../fullClasspath/_global/streams/export | 2 +- .../_global/streams/export | 2 +- src/hex/addi.hex | 8 + src/main/scala/common/Consts.scala | 10 +- src/main/scala/common/Instructions.scala | 19 +- src/main/scala/micore/Core.scala | 33 +- src/main/scala/micore/Memory.scala | 2 +- src/main/scala/sicore/Core.scala | 151 ++++++ src/main/scala/sicore/Memory.scala | 59 +++ src/main/scala/sicore/Top.scala | 30 ++ src/test/scala/micore/MicoreSpec.scala | 1 + src/test/scala/sicore/SicoreSpec.scala | 15 + target/scala-2.13/-name-_2.13-0.1.0.jar | Bin 80234 -> 92269 bytes target/scala-2.13/zinc/inc_compile_2.13.zip | Bin 15227 -> 21682 bytes .../_global/_global/compileOutputs/previous | 2 +- .../_global/discoveredMainClasses/data | 2 +- .../compileIncremental/_global/streams/out | 41 +- .../_global/streams/export | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../compile/incOptions/_global/streams/out | 44 +- .../compile/packageBin/_global/streams/inputs | 2 +- .../compile/packageBin/_global/streams/out | 82 +-- .../compile/packageBin/_global/streams/output | 2 +- .../_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../TopOrigin.lo.fir | 319 ++++++------ .../TopOrigin.lo.fir | 452 ++++++++++++++++ 126 files changed, 1242 insertions(+), 629 deletions(-) create mode 100755 project/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource create mode 100755 project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs create mode 100755 project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output create mode 100755 project/project/project/target/streams/_global/_global/_global/streams/out create mode 100755 project/project/project/target/streams/_global/csrConfiguration/_global/streams/out create mode 100755 project/project/project/target/streams/_global/csrProject/_global/streams/out create mode 100755 project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp create mode 100755 project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp create mode 100755 project/project/project/target/streams/_global/ivyConfiguration/_global/streams/out create mode 100755 project/project/project/target/streams/_global/ivySbt/_global/streams/out create mode 100755 project/project/project/target/streams/_global/moduleSettings/_global/streams/out create mode 100755 project/project/project/target/streams/_global/projectDescriptors/_global/streams/out create mode 100755 project/project/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out create mode 100755 project/project/project/target/streams/_global/update/_global/streams/out create mode 100755 project/project/project/target/streams/compile/_global/_global/compileOutputs/previous create mode 100755 project/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data create mode 100755 project/project/project/target/streams/compile/bspReporter/_global/streams/out create mode 100755 project/project/project/target/streams/compile/compile/_global/streams/out create mode 100755 project/project/project/target/streams/compile/compileIncremental/_global/streams/export create mode 100755 project/project/project/target/streams/compile/compileIncremental/_global/streams/out create mode 100755 project/project/project/target/streams/compile/copyResources/_global/streams/out create mode 100755 project/project/project/target/streams/compile/dependencyClasspath/_global/streams/export create mode 100755 project/project/project/target/streams/compile/exportedProducts/_global/streams/export create mode 100755 project/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export create mode 100755 project/project/project/target/streams/compile/incOptions/_global/streams/out create mode 100755 project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export create mode 100755 project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/out create mode 100755 project/project/project/target/streams/compile/managedClasspath/_global/streams/export create mode 100755 project/project/project/target/streams/compile/scalacOptions/_global/streams/out create mode 100755 project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export create mode 100755 project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/out create mode 100755 project/project/project/target/streams/compile/unmanagedJars/_global/streams/export create mode 100755 project/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export create mode 100755 project/project/project/target/streams/runtime/exportedProducts/_global/streams/export create mode 100755 project/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export create mode 100755 project/project/project/target/streams/runtime/fullClasspath/_global/streams/export create mode 100755 project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export create mode 100755 project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out create mode 100755 project/project/project/target/streams/runtime/managedClasspath/_global/streams/export create mode 100755 project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export create mode 100755 project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/out create mode 100755 project/project/project/target/streams/runtime/unmanagedJars/_global/streams/export rename project/project/target/config-classes/{$6080031bccf8a03d91ba.cache => $3584e5917ebead612fb4.cache} (100%) mode change 100644 => 100755 rename project/project/target/config-classes/{$9fddc3a6676a1ed50a85.cache => $8336910b880f89dfa68a.cache} (100%) mode change 100644 => 100755 create mode 100755 project/project/target/scala-2.12/sbt-1.0/sync/copy-resource create mode 100755 project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs create mode 100755 project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output create mode 100755 project/project/target/streams/_global/_global/_global/streams/out create mode 100755 project/project/target/streams/_global/csrConfiguration/_global/streams/out create mode 100755 project/project/target/streams/_global/csrProject/_global/streams/out create mode 100755 project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp create mode 100755 project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp create mode 100755 project/project/target/streams/_global/ivyConfiguration/_global/streams/out create mode 100755 project/project/target/streams/_global/ivySbt/_global/streams/out create mode 100755 project/project/target/streams/_global/moduleSettings/_global/streams/out create mode 100755 project/project/target/streams/_global/projectDescriptors/_global/streams/out create mode 100755 project/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out create mode 100755 project/project/target/streams/_global/update/_global/streams/out create mode 100755 project/project/target/streams/compile/_global/_global/compileOutputs/previous create mode 100755 project/project/target/streams/compile/_global/_global/discoveredMainClasses/data create mode 100755 project/project/target/streams/compile/bspReporter/_global/streams/out create mode 100755 project/project/target/streams/compile/compile/_global/streams/out create mode 100755 project/project/target/streams/compile/compileIncremental/_global/streams/export create mode 100755 project/project/target/streams/compile/compileIncremental/_global/streams/out create mode 100755 project/project/target/streams/compile/copyResources/_global/streams/out create mode 100755 project/project/target/streams/compile/dependencyClasspath/_global/streams/export create mode 100755 project/project/target/streams/compile/exportedProducts/_global/streams/export create mode 100755 project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export create mode 100755 project/project/target/streams/compile/incOptions/_global/streams/out create mode 100755 project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export create mode 100755 project/project/target/streams/compile/internalDependencyClasspath/_global/streams/out create mode 100755 project/project/target/streams/compile/managedClasspath/_global/streams/export create mode 100755 project/project/target/streams/compile/scalacOptions/_global/streams/out create mode 100755 project/project/target/streams/compile/unmanagedClasspath/_global/streams/export create mode 100755 project/project/target/streams/compile/unmanagedClasspath/_global/streams/out create mode 100755 project/project/target/streams/compile/unmanagedJars/_global/streams/export create mode 100755 project/project/target/streams/runtime/dependencyClasspath/_global/streams/export create mode 100755 project/project/target/streams/runtime/exportedProducts/_global/streams/export create mode 100755 project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export create mode 100755 project/project/target/streams/runtime/fullClasspath/_global/streams/export create mode 100755 project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export create mode 100755 project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out create mode 100755 project/project/target/streams/runtime/managedClasspath/_global/streams/export create mode 100755 project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export create mode 100755 project/project/target/streams/runtime/unmanagedClasspath/_global/streams/out create mode 100755 project/project/target/streams/runtime/unmanagedJars/_global/streams/export rename project/target/config-classes/{$92eace74df3dd60b2407.cache => $2b8c65d0a3a3f42e1015.cache} (100%) mode change 100644 => 100755 rename project/target/config-classes/{$87709882d327edfdfbe4.cache => $948efd6ee3ba8f09824e.cache} (100%) mode change 100644 => 100755 rename project/target/config-classes/{$b99baa0e80f987ddc888.cache => $add241eb0c1a6339f011.cache} (100%) mode change 100644 => 100755 rename project/target/config-classes/{$bc99ac17cdce7fb9c74c.cache => $eac2b8278586e49cb092.cache} (100%) mode change 100644 => 100755 create mode 100755 src/hex/addi.hex create mode 100755 src/main/scala/sicore/Core.scala create mode 100755 src/main/scala/sicore/Memory.scala create mode 100755 src/main/scala/sicore/Top.scala create mode 100755 src/test/scala/sicore/SicoreSpec.scala mode change 100644 => 100755 target/scala-2.13/-name-_2.13-0.1.0.jar mode change 100644 => 100755 target/scala-2.13/zinc/inc_compile_2.13.zip create mode 100755 test_run_dir/Sicore_should_run_the_C_program/TopOrigin.lo.fir diff --git a/TopOrigin.sv b/TopOrigin.sv index 002ff0d..9c46214 100755 --- a/TopOrigin.sv +++ b/TopOrigin.sv @@ -20,10 +20,6 @@ module regfile_32x32( input R1_en, R1_clk, output [31:0] R1_data, - input [4:0] R2_addr, - input R2_en, - R2_clk, - output [31:0] R2_data, input [4:0] W0_addr, input W0_en, W0_clk, @@ -37,7 +33,6 @@ module regfile_32x32( end // always @(posedge) assign R0_data = R0_en ? Memory[R0_addr] : 32'bx; assign R1_data = R1_en ? Memory[R1_addr] : 32'bx; - assign R2_data = R2_en ? Memory[R2_addr] : 32'bx; endmodule module Core( @@ -49,83 +44,73 @@ module Core( input [31:0] io_dmem_rdata, output io_dmem_wen, output [31:0] io_dmem_wdata, - output io_exit, - output [31:0] io_gp + output io_exit ); - wire [31:0] mem_wb_data; - wire exe_jmp_flg; - wire exe_br_flg; + wire [31:0] _regfile_ext_R0_data; wire [31:0] _regfile_ext_R1_data; - wire [31:0] _regfile_ext_R2_data; - reg [31:0] id_reg_pc; - reg [31:0] id_reg_inst; - reg [31:0] exe_reg_pc; - reg [4:0] exe_reg_wb_addr; - reg [31:0] exe_reg_op1_data; - reg [31:0] exe_reg_op2_data; - reg [31:0] exe_reg_rs2_data; - reg [4:0] exe_reg_exe_fun; - reg [1:0] exe_reg_mem_wen; - reg [1:0] exe_reg_rf_wen; - reg [2:0] exe_reg_wb_sel; - reg [31:0] exe_reg_imm_b_sext; - reg [31:0] mem_reg_pc; - reg [4:0] mem_reg_wb_addr; - reg [31:0] mem_reg_rs2_data; - reg [1:0] mem_reg_mem_wen; - reg [1:0] mem_reg_rf_wen; - reg [2:0] mem_reg_wb_sel; - reg [31:0] mem_reg_alu_out; - reg [4:0] wb_reg_wb_addr; - reg [1:0] wb_reg_rf_wen; - reg [31:0] wb_reg_wb_data; - reg [31:0] if_reg_pc; - wire _id_inst_T = exe_br_flg | exe_jmp_flg; - wire _id_rs2_data_hazard_T = exe_reg_rf_wen == 2'h1; - wire stall_flg = - _id_rs2_data_hazard_T & (|(id_reg_inst[25:21])) - & id_reg_inst[25:21] == exe_reg_wb_addr | _id_rs2_data_hazard_T - & (|(id_reg_inst[20:16])) & id_reg_inst[20:16] == exe_reg_wb_addr; - wire [31:0] id_inst = _id_inst_T | stall_flg ? 32'h0 : id_reg_inst; - wire _id_rs1_data_T = id_inst[25:21] == 5'h0; - wire _id_rs2_data_T_2 = mem_reg_rf_wen == 2'h1; - wire _id_rs1_data_T_3 = id_inst[25:21] == mem_reg_wb_addr & _id_rs2_data_T_2; - wire _id_rs2_data_T_5 = wb_reg_rf_wen == 2'h1; - wire _id_rs1_data_T_6 = id_inst[25:21] == wb_reg_wb_addr & _id_rs2_data_T_5; - wire [31:0] id_rs2_data = - id_inst[20:16] == 5'h0 - ? 32'h0 - : id_inst[20:16] == mem_reg_wb_addr & _id_rs2_data_T_2 - ? mem_wb_data - : id_inst[20:16] == wb_reg_wb_addr & _id_rs2_data_T_5 - ? wb_reg_wb_data - : _regfile_ext_R1_data; - wire [16:0] _GEN = {id_inst[31:26], id_inst[10:0]}; - wire _csignals_T_5 = _GEN == 17'h20; - wire _csignals_T_7 = id_inst[31:28] == 4'h8; - wire _csignals_T_9 = _GEN == 17'h22; - wire _csignals_T_11 = _GEN == 17'h24; - wire _csignals_T_13 = _GEN == 17'h25; - wire _csignals_T_15 = _GEN == 17'h26; - wire _csignals_T_17 = id_inst[31:28] == 4'hC; - wire _csignals_T_19 = id_inst[31:28] == 4'hD; - wire _csignals_T_21 = _GEN == 17'h2A; - wire _csignals_T_23 = id_inst[31:28] == 4'h4; - wire _csignals_T_25 = id_inst[31:28] == 4'h5; - wire _csignals_T_27 = id_inst[31:28] == 4'h3; - wire _csignals_T_29 = id_inst[31:23] == 9'h1E0; - wire _GEN_0 = _csignals_T_27 | _csignals_T_29; - wire [4:0] _csignals_T_31 = {4'h0, _GEN_0}; - wire _GEN_1 = _csignals_T_5 | _csignals_T_7; - wire _GEN_2 = _csignals_T_23 | _csignals_T_25; - wire _GEN_3 = _csignals_T_21 | _GEN_2; + reg [31:0] pc_reg; + wire [31:0] _pc_plus4_T = pc_reg + 32'h4; + wire [9:0] _GEN = {io_imem_inst[31:28], io_imem_inst[5:0]}; + wire jmp_flg = io_imem_inst[31:28] == 4'h3 | _GEN == 10'h8; + wire [31:0] rs_data = (|(io_imem_inst[25:21])) ? _regfile_ext_R1_data : 32'h0; + wire [31:0] rt_data = (|(io_imem_inst[20:16])) ? _regfile_ext_R0_data : 32'h0; + wire [11:0] _GEN_0 = {io_imem_inst[31:26], io_imem_inst[5:0]}; + wire _csignals_T_5 = _GEN_0 == 12'h20; + wire _csignals_T_7 = io_imem_inst[31:28] == 4'h8; + wire _csignals_T_9 = _GEN_0 == 12'h22; + wire _csignals_T_11 = _GEN_0 == 12'h24; + wire _csignals_T_13 = _GEN_0 == 12'h25; + wire _csignals_T_15 = _GEN_0 == 12'h26; + wire _csignals_T_17 = io_imem_inst[31:28] == 4'hC; + wire _csignals_T_19 = io_imem_inst[31:28] == 4'hD; + wire _csignals_T_21 = _GEN_0 == 12'h2A; + wire _csignals_T_23 = io_imem_inst[31:28] == 4'h4; + wire _csignals_T_25 = io_imem_inst[31:28] == 4'h5; + wire [16:0] _GEN_1 = {io_imem_inst[31:21], io_imem_inst[5:0]}; + wire _csignals_T_27 = _GEN_1 == 17'h0; + wire _csignals_T_29 = _GEN_1 == 17'h2; + wire _csignals_T_31 = _GEN_1 == 17'h3; + wire _csignals_T_33 = io_imem_inst[31:28] == 4'h3; + wire _csignals_T_35 = _GEN == 10'h8; + wire [4:0] csignals_0 = + _csignals_T_5 | _csignals_T_7 + ? 5'h1 + : _csignals_T_9 + ? 5'h2 + : _csignals_T_11 + ? 5'h3 + : _csignals_T_13 + ? 5'h4 + : _csignals_T_15 + ? 5'h5 + : _csignals_T_17 + ? 5'h3 + : _csignals_T_19 + ? 5'h4 + : _csignals_T_21 + ? 5'h9 + : _csignals_T_23 + ? 5'hB + : _csignals_T_25 + ? 5'hC + : _csignals_T_27 + ? 5'h6 + : _csignals_T_29 + ? 5'h7 + : _csignals_T_31 + ? 5'h8 + : _csignals_T_33 + ? 5'h1 + : _csignals_T_35 ? 5'hD : 5'h0; + wire _GEN_2 = _csignals_T_27 | _csignals_T_29 | _csignals_T_31; + wire _GEN_3 = _csignals_T_21 | _csignals_T_23 | _csignals_T_25 | _GEN_2; wire [1:0] csignals_1 = _csignals_T_5 | _csignals_T_7 | _csignals_T_9 | _csignals_T_11 | _csignals_T_13 - | _csignals_T_15 | _csignals_T_17 | _csignals_T_19 | _GEN_3 + | _csignals_T_15 | _csignals_T_17 | _csignals_T_19 | _GEN_3 | ~_csignals_T_33 ? 2'h1 - : _csignals_T_27 ? 2'h2 : {1'h0, ~_csignals_T_29}; - wire [2:0] _csignals_T_70 = + : 2'h2; + wire [2:0] _csignals_T_85 = _csignals_T_5 ? 3'h1 : _csignals_T_7 @@ -134,254 +119,140 @@ module Core( ? 3'h1 : _csignals_T_17 | _csignals_T_19 ? 3'h2 - : _GEN_3 ? 3'h1 : _csignals_T_27 ? 3'h4 : {_csignals_T_29, 2'h1}; - wire [1:0] _csignals_T_87 = {1'h0, _GEN_0}; - wire _GEN_4 = + : _GEN_3 ? 3'h1 : _csignals_T_33 ? 3'h4 : {2'h0, ~_csignals_T_35}; + wire _GEN_4 = _csignals_T_23 | _csignals_T_25; + wire _GEN_5 = _csignals_T_5 | _csignals_T_7 | _csignals_T_9 | _csignals_T_11 | _csignals_T_13 | _csignals_T_15 | _csignals_T_17 | _csignals_T_19 | _csignals_T_21; - wire [2:0] _csignals_T_100 = {2'h0, _csignals_T_29}; - wire _id_op1_data_T = csignals_1 == 2'h1; - wire _id_op1_data_T_1 = csignals_1 == 2'h2; - wire [35:0] id_op2_data = - _csignals_T_70 == 3'h1 - ? {4'h0, id_rs2_data} - : _csignals_T_70 == 3'h2 - ? {4'h0, {16{id_inst[15]}}, id_inst[15:0]} - : _csignals_T_70 == 3'h3 - ? {4'h0, {22{id_inst[15]}}, id_inst[15:11], id_inst[25:21]} - : _csignals_T_70 == 3'h4 - ? {{6{id_inst[23]}}, id_inst[25:0], 4'h0} - : {4'h0, _csignals_T_70 == 3'h5 ? {id_inst[15:0], 16'h0} : 32'h0}; - wire [31:0] _exe_alu_out_T_30 = exe_reg_op1_data + exe_reg_op2_data; - wire [62:0] _exe_alu_out_T_14 = {31'h0, exe_reg_op1_data} << exe_reg_op2_data[4:0]; - wire [31:0] _GEN_5 = {27'h0, exe_reg_op2_data[4:0]}; - wire [31:0] _exe_alu_out_T_46 = - exe_reg_exe_fun == 5'h1 - ? _exe_alu_out_T_30 - : exe_reg_exe_fun == 5'h2 - ? exe_reg_op1_data - exe_reg_op2_data - : exe_reg_exe_fun == 5'h3 - ? exe_reg_op1_data & exe_reg_op2_data - : exe_reg_exe_fun == 5'h4 - ? exe_reg_op1_data | exe_reg_op2_data - : exe_reg_exe_fun == 5'h5 - ? exe_reg_op1_data ^ exe_reg_op2_data - : exe_reg_exe_fun == 5'h6 - ? _exe_alu_out_T_14[31:0] - : exe_reg_exe_fun == 5'h7 - ? exe_reg_op1_data >> _GEN_5 - : exe_reg_exe_fun == 5'h8 - ? $signed($signed(exe_reg_op1_data) >>> _GEN_5) - : exe_reg_exe_fun == 5'h9 - ? {31'h0, - $signed(exe_reg_op1_data) < $signed(exe_reg_op2_data)} - : exe_reg_exe_fun == 5'hA - ? {31'h0, exe_reg_op1_data < exe_reg_op2_data} - : exe_reg_exe_fun == 5'h11 - ? _exe_alu_out_T_30 & 32'hFFFFFFFE - : exe_reg_exe_fun == 5'h12 - ? exe_reg_op1_data - : 32'h0; - wire _exe_br_flg_T_3 = exe_reg_op1_data == exe_reg_op2_data; - assign exe_br_flg = - exe_reg_exe_fun == 5'hB - ? _exe_br_flg_T_3 - : exe_reg_exe_fun == 5'hC & ~_exe_br_flg_T_3; - assign exe_jmp_flg = exe_reg_wb_sel == 3'h3; - assign mem_wb_data = - mem_reg_wb_sel == 3'h2 - ? io_dmem_rdata - : mem_reg_wb_sel == 3'h3 ? mem_reg_pc + 32'h4 : mem_reg_alu_out; + wire [1:0] _csignals_T_136 = + _GEN_5 ? 2'h1 : _GEN_4 ? 2'h0 : _GEN_2 ? 2'h1 : {2{_csignals_T_33}}; + wire _op1_data_T = csignals_1 == 2'h1; + wire _op1_data_T_1 = csignals_1 == 2'h2; + wire [31:0] op1_data = _op1_data_T ? rs_data : _op1_data_T_1 ? pc_reg : 32'h0; + wire [31:0] op2_data = + _csignals_T_85 == 3'h1 + ? rt_data + : _csignals_T_85 == 3'h2 + ? {{16{io_imem_inst[15]}}, io_imem_inst[15:0]} + : _csignals_T_85 == 3'h4 ? {4'h0, io_imem_inst[25:0], 2'h0} : 32'h0; + wire _alu_out_T = csignals_0 == 5'h1; + wire [31:0] _alu_out_T_1 = op1_data + op2_data; + wire _alu_out_T_3 = csignals_0 == 5'h2; + wire [31:0] _alu_out_T_4 = op1_data - op2_data; + wire _alu_out_T_6 = csignals_0 == 5'h3; + wire [31:0] _alu_out_T_7 = op1_data & op2_data; + wire _alu_out_T_8 = csignals_0 == 5'h4; + wire [31:0] _alu_out_T_9 = op1_data | op2_data; + wire _alu_out_T_10 = csignals_0 == 5'h5; + wire [31:0] _alu_out_T_11 = op1_data ^ op2_data; + wire _alu_out_T_12 = csignals_0 == 5'h6; + wire [62:0] _alu_out_T_14 = {31'h0, op1_data} << op2_data[4:0]; + wire _alu_out_T_16 = csignals_0 == 5'h7; + wire [31:0] _GEN_6 = {27'h0, op2_data[4:0]}; + wire [31:0] _alu_out_T_18 = op1_data >> _GEN_6; + wire _alu_out_T_19 = csignals_0 == 5'h8; + wire [31:0] _alu_out_T_22 = $signed($signed(op1_data) >>> _GEN_6); + wire _alu_out_T_24 = csignals_0 == 5'h9; + wire _alu_out_T_28 = csignals_0 == 5'hD; + wire [31:0] _GEN_7 = {31'h0, $signed(op1_data) < $signed(op2_data)}; + wire [31:0] alu_out = + _alu_out_T + ? _alu_out_T_1 + : _alu_out_T_3 + ? _alu_out_T_4 + : _alu_out_T_6 + ? _alu_out_T_7 + : _alu_out_T_8 + ? _alu_out_T_9 + : _alu_out_T_10 + ? _alu_out_T_11 + : _alu_out_T_12 + ? _alu_out_T_14[31:0] + : _alu_out_T_16 + ? _alu_out_T_18 + : _alu_out_T_19 + ? _alu_out_T_22 + : _alu_out_T_24 + ? _GEN_7 + : _alu_out_T_28 ? op1_data : 32'h0; + wire _br_flg_T_3 = op1_data == op2_data; + wire br_flg = + csignals_0 == 5'hB ? _br_flg_T_3 : csignals_0 == 5'hC & ~_br_flg_T_3; + wire [31:0] br_target = {{14{io_imem_inst[15]}}, io_imem_inst[15:0], 2'h0} + pc_reg; + wire [31:0] wb_data = + _csignals_T_136 == 2'h2 ? io_dmem_rdata : (&_csignals_T_136) ? _pc_plus4_T : alu_out; `ifndef SYNTHESIS always @(posedge clock) begin if ((`PRINTF_COND_) & ~reset) begin - automatic logic [31:0] id_rs1_data = - _id_rs1_data_T - ? 32'h0 - : _id_rs1_data_T_3 - ? mem_wb_data - : _id_rs1_data_T_6 ? wb_reg_wb_data : _regfile_ext_R2_data; - $fwrite(32'h80000002, "---------------------\n"); - $fwrite(32'h80000002, "id_reg_pc: 0x%x\n", id_reg_pc); - $fwrite(32'h80000002, "id_reg_inst: 0x%x\n", id_reg_inst); - $fwrite(32'h80000002, "id_inst: 0x%x\n", id_inst); - $fwrite(32'h80000002, "id_rs1_addr: 0x%x\n", id_inst[25:21]); - $fwrite(32'h80000002, "id_rs2_addr: 0x%x\n", id_inst[20:16]); - $fwrite(32'h80000002, "id_wb_addr: 0x%x\n", id_inst[15:11]); - $fwrite(32'h80000002, "id_exe_fun: 0x%x\n", - _GEN_1 - ? 5'h1 - : _csignals_T_9 - ? 5'h2 - : _csignals_T_11 - ? 5'h3 - : _csignals_T_13 - ? 5'h4 - : _csignals_T_15 - ? 5'h5 - : _csignals_T_17 - ? 5'h3 - : _csignals_T_19 - ? 5'h4 - : _csignals_T_21 - ? 5'h9 - : _csignals_T_23 - ? 5'hB - : _csignals_T_25 - ? 5'hC - : _csignals_T_31); - $fwrite(32'h80000002, "id_op1_sel: 0x%x\n", csignals_1); - $fwrite(32'h80000002, "id_op1_data: 0x%x\n", - _id_op1_data_T ? id_rs1_data : _id_op1_data_T_1 ? id_reg_pc : 32'h0); - $fwrite(32'h80000002, "id_op2_sel: 0x%x\n", _csignals_T_70); - $fwrite(32'h80000002, "id_op2_data: 0x%x\n", id_op2_data); - $fwrite(32'h80000002, "id_mem_wen: 0x%x\n", 2'h0); - $fwrite(32'h80000002, "id_rf_wen: 0x%x\n", - _GEN_4 ? 2'h1 : _GEN_2 ? 2'h0 : _csignals_T_87); - $fwrite(32'h80000002, "id_wb_sel: 0x%x\n", - _GEN_4 ? 3'h1 : _GEN_2 ? 3'h0 : _csignals_T_27 ? 3'h3 : _csignals_T_100); - $fwrite(32'h80000002, "id_rs1_data: 0x%x\n", id_rs1_data); - $fwrite(32'h80000002, "id_rs2_data: 0x%x\n", id_rs2_data); - $fwrite(32'h80000002, "exe_alu_out: 0x%x\n", _exe_alu_out_T_46); - $fwrite(32'h80000002, "mem_reg_pc: 0x%x\n", mem_reg_pc); - $fwrite(32'h80000002, "mem_reg_alu_out: 0x%x\n", mem_reg_alu_out); - $fwrite(32'h80000002, "mem_wb_data: 0x%x\n", mem_wb_data); - $fwrite(32'h80000002, "wb_reg_wb_data: 0%x\n", wb_reg_wb_data); - $fwrite(32'h80000002, "---------------------\n"); + $fwrite(32'h80000002, "---------------\n"); + $fwrite(32'h80000002, "io.pc: 0x%x\ninst: 0x%x \n", pc_reg, io_imem_inst); + $fwrite(32'h80000002, "pc_next: 0x%x\n", + br_flg ? br_target : jmp_flg ? alu_out : _pc_plus4_T); + $fwrite(32'h80000002, "rs_addr: 0x%x\n", io_imem_inst[25:21]); + $fwrite(32'h80000002, "rd_addr: 0x%x\n", io_imem_inst[15:11]); + $fwrite(32'h80000002, "rs_data: 0x%x\n", rs_data); + $fwrite(32'h80000002, "wb_data: 0x%x\n", wb_data); + $fwrite(32'h80000002, "---------------\n"); end end // always @(posedge) `endif // not def SYNTHESIS always @(posedge clock) begin - if (reset) begin - id_reg_pc <= 32'h0; - id_reg_inst <= 32'h0; - exe_reg_pc <= 32'h0; - exe_reg_wb_addr <= 5'h0; - exe_reg_op1_data <= 32'h0; - exe_reg_op2_data <= 32'h0; - exe_reg_rs2_data <= 32'h0; - exe_reg_exe_fun <= 5'h0; - exe_reg_mem_wen <= 2'h0; - exe_reg_rf_wen <= 2'h0; - exe_reg_wb_sel <= 3'h0; - exe_reg_imm_b_sext <= 32'h0; - mem_reg_pc <= 32'h0; - mem_reg_wb_addr <= 5'h0; - mem_reg_rs2_data <= 32'h0; - mem_reg_mem_wen <= 2'h0; - mem_reg_rf_wen <= 2'h0; - mem_reg_wb_sel <= 3'h0; - mem_reg_alu_out <= 32'h0; - wb_reg_wb_addr <= 5'h0; - wb_reg_rf_wen <= 2'h0; - wb_reg_wb_data <= 32'h0; - if_reg_pc <= 32'h400000; - end - else begin - if (~stall_flg) - id_reg_pc <= if_reg_pc; - if (_id_inst_T) - id_reg_inst <= 32'h0; - else if (~stall_flg) - id_reg_inst <= io_imem_inst; - exe_reg_pc <= id_reg_pc; - exe_reg_wb_addr <= id_inst[15:11]; - if (_id_op1_data_T) begin - if (_id_rs1_data_T) - exe_reg_op1_data <= 32'h0; - else if (_id_rs1_data_T_3) - exe_reg_op1_data <= mem_wb_data; - else if (_id_rs1_data_T_6) - exe_reg_op1_data <= wb_reg_wb_data; - else - exe_reg_op1_data <= _regfile_ext_R2_data; + if (reset) + pc_reg <= 32'h0; + else if (br_flg) + pc_reg <= br_target; + else if (jmp_flg) begin + if (_alu_out_T) + pc_reg <= _alu_out_T_1; + else if (_alu_out_T_3) + pc_reg <= _alu_out_T_4; + else if (_alu_out_T_6) + pc_reg <= _alu_out_T_7; + else if (_alu_out_T_8) + pc_reg <= _alu_out_T_9; + else if (_alu_out_T_10) + pc_reg <= _alu_out_T_11; + else if (_alu_out_T_12) + pc_reg <= _alu_out_T_14[31:0]; + else if (_alu_out_T_16) + pc_reg <= _alu_out_T_18; + else if (_alu_out_T_19) + pc_reg <= _alu_out_T_22; + else if (_alu_out_T_24) + pc_reg <= _GEN_7; + else if (_alu_out_T_28) begin + if (_op1_data_T) + pc_reg <= rs_data; + else if (~_op1_data_T_1) + pc_reg <= 32'h0; end - else if (_id_op1_data_T_1) - exe_reg_op1_data <= id_reg_pc; else - exe_reg_op1_data <= 32'h0; - exe_reg_op2_data <= id_op2_data[31:0]; - exe_reg_rs2_data <= id_rs2_data; - if (_GEN_1) - exe_reg_exe_fun <= 5'h1; - else if (_csignals_T_9) - exe_reg_exe_fun <= 5'h2; - else if (_csignals_T_11) - exe_reg_exe_fun <= 5'h3; - else if (_csignals_T_13) - exe_reg_exe_fun <= 5'h4; - else if (_csignals_T_15) - exe_reg_exe_fun <= 5'h5; - else if (_csignals_T_17) - exe_reg_exe_fun <= 5'h3; - else if (_csignals_T_19) - exe_reg_exe_fun <= 5'h4; - else if (_csignals_T_21) - exe_reg_exe_fun <= 5'h9; - else if (_csignals_T_23) - exe_reg_exe_fun <= 5'hB; - else if (_csignals_T_25) - exe_reg_exe_fun <= 5'hC; - else - exe_reg_exe_fun <= _csignals_T_31; - exe_reg_mem_wen <= 2'h0; - if (_GEN_4) begin - exe_reg_rf_wen <= 2'h1; - exe_reg_wb_sel <= 3'h1; - end - else if (_GEN_2) begin - exe_reg_rf_wen <= 2'h0; - exe_reg_wb_sel <= 3'h0; - end - else begin - exe_reg_rf_wen <= _csignals_T_87; - if (_csignals_T_27) - exe_reg_wb_sel <= 3'h3; - else - exe_reg_wb_sel <= _csignals_T_100; - end - exe_reg_imm_b_sext <= {{14{id_inst[15]}}, id_inst[15:0], 2'h0}; - mem_reg_pc <= exe_reg_pc; - mem_reg_wb_addr <= exe_reg_wb_addr; - mem_reg_rs2_data <= exe_reg_rs2_data; - mem_reg_mem_wen <= exe_reg_mem_wen; - mem_reg_rf_wen <= exe_reg_rf_wen; - mem_reg_wb_sel <= exe_reg_wb_sel; - mem_reg_alu_out <= _exe_alu_out_T_46; - wb_reg_wb_addr <= mem_reg_wb_addr; - wb_reg_rf_wen <= mem_reg_rf_wen; - wb_reg_wb_data <= mem_wb_data; - if (exe_br_flg) - if_reg_pc <= exe_reg_pc + exe_reg_imm_b_sext; - else if (exe_jmp_flg) - if_reg_pc <= _exe_alu_out_T_46; - else if (~stall_flg) - if_reg_pc <= if_reg_pc + 32'h4; + pc_reg <= 32'h0; end + else + pc_reg <= _pc_plus4_T; end // always @(posedge) regfile_32x32 regfile_ext ( - .R0_addr (5'h3), + .R0_addr (io_imem_inst[20:16]), .R0_en (1'h1), .R0_clk (clock), - .R0_data (io_gp), - .R1_addr (id_inst[20:16]), + .R0_data (_regfile_ext_R0_data), + .R1_addr (io_imem_inst[25:21]), .R1_en (1'h1), .R1_clk (clock), .R1_data (_regfile_ext_R1_data), - .R2_addr (id_inst[25:21]), - .R2_en (1'h1), - .R2_clk (clock), - .R2_data (_regfile_ext_R2_data), - .W0_addr (wb_reg_wb_addr), - .W0_en (_id_rs2_data_T_5), + .W0_addr (io_imem_inst[15:11]), + .W0_en + (_GEN_5 | ~_GEN_4 + & (_csignals_T_27 | _csignals_T_29 | _csignals_T_31 | _csignals_T_33)), .W0_clk (clock), - .W0_data (wb_reg_wb_data) + .W0_data (wb_data) ); - assign io_imem_addr = if_reg_pc; - assign io_dmem_addr = mem_reg_alu_out; - assign io_dmem_wen = mem_reg_mem_wen[0]; - assign io_dmem_wdata = mem_reg_rs2_data; - assign io_exit = id_reg_inst == 32'h114514; + assign io_imem_addr = pc_reg; + assign io_dmem_addr = alu_out; + assign io_dmem_wen = 1'h0; + assign io_dmem_wdata = rt_data; + assign io_exit = io_imem_inst == 32'h114514; endmodule // VCS coverage exclude_file @@ -449,7 +320,7 @@ module mem_4096x8( end // always @(posedge) `ifdef ENABLE_INITIAL_MEM_ initial - $readmemh("src/hex/mem.hex", Memory); + $readmemh("src/hex/addi.hex", Memory); `endif // ENABLE_INITIAL_MEM_ assign R0_data = R0_en ? Memory[R0_addr] : 8'bx; assign R1_data = R1_en ? Memory[R1_addr] : 8'bx; @@ -539,10 +410,9 @@ module Memory( endmodule module TopOrigin( - input clock, - reset, - output io_exit, - output [31:0] io_gp + input clock, + reset, + output io_exit ); wire [31:0] _memory_io_imem_inst; @@ -560,8 +430,7 @@ module TopOrigin( .io_dmem_rdata (_memory_io_dmem_rdata), .io_dmem_wen (_core_io_dmem_wen), .io_dmem_wdata (_core_io_dmem_wdata), - .io_exit (io_exit), - .io_gp (io_gp) + .io_exit (io_exit) ); Memory memory ( .clock (clock), diff --git a/project/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource b/project/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource new file mode 100755 index 0000000..9d348e7 --- /dev/null +++ b/project/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource @@ -0,0 +1 @@ +[[{},{}],{}] \ No newline at end of file diff --git a/project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs b/project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs new file mode 100755 index 0000000..fd70f3c --- /dev/null +++ b/project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs @@ -0,0 +1 @@ +-2109952146 \ No newline at end of file diff --git a/project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output b/project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output new file mode 100755 index 0000000..5cdd450 --- /dev/null +++ b/project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output @@ -0,0 +1 @@ +{"cachedDescriptor":".","configurations":[{"configuration":{"name":"compile"},"modules":[],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"plugin"},"modules":[],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"provided"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"runtime"},"modules":[],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[],"details":[]},{"configuration":{"name":"scala-doc-tool"},"modules":[],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.18","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-lang","name":"*","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.1.0/scala-xml_2.12-2.1.0.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.1.0/scala-xml_2.12-2.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The BSD License","http://www.opensource.org/licenses/bsd-license.php"]],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":true},"stamps":{}} \ No newline at end of file diff --git a/project/project/project/target/streams/_global/_global/_global/streams/out b/project/project/project/target/streams/_global/_global/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/_global/csrConfiguration/_global/streams/out b/project/project/project/target/streams/_global/csrConfiguration/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/_global/csrProject/_global/streams/out b/project/project/project/target/streams/_global/csrProject/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp b/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp new file mode 100755 index 0000000..c09d4f8 --- /dev/null +++ b/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp @@ -0,0 +1 @@ +-2132504562 \ No newline at end of file diff --git a/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp b/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp new file mode 100755 index 0000000..7a9f043 --- /dev/null +++ b/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp @@ -0,0 +1 @@ +{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","startLine"],"path":"(sbt.Classpaths.jvmBaseSettings) Defaults.scala","startLine":3342},"type":"LinePosition"}} \ No newline at end of file diff --git a/project/project/project/target/streams/_global/ivyConfiguration/_global/streams/out b/project/project/project/target/streams/_global/ivyConfiguration/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/_global/ivySbt/_global/streams/out b/project/project/project/target/streams/_global/ivySbt/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/_global/moduleSettings/_global/streams/out b/project/project/project/target/streams/_global/moduleSettings/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/_global/projectDescriptors/_global/streams/out b/project/project/project/target/streams/_global/projectDescriptors/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out b/project/project/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/_global/update/_global/streams/out b/project/project/project/target/streams/_global/update/_global/streams/out new file mode 100755 index 0000000..982166c --- /dev/null +++ b/project/project/project/target/streams/_global/update/_global/streams/out @@ -0,0 +1,3 @@ +[debug] not up to date. inChanged = true, force = false +[debug] Updating ProjectRef(uri("file:/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/"), "micore-build-build-build")... +[debug] Done updating ProjectRef(uri("file:/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/"), "micore-build-build-build") diff --git a/project/project/project/target/streams/compile/_global/_global/compileOutputs/previous b/project/project/project/target/streams/compile/_global/_global/compileOutputs/previous new file mode 100755 index 0000000..d3be71e --- /dev/null +++ b/project/project/project/target/streams/compile/_global/_global/compileOutputs/previous @@ -0,0 +1 @@ +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/project/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data b/project/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data new file mode 100755 index 0000000..0637a08 --- /dev/null +++ b/project/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data @@ -0,0 +1 @@ +[] \ No newline at end of file diff --git a/project/project/project/target/streams/compile/bspReporter/_global/streams/out b/project/project/project/target/streams/compile/bspReporter/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/compile/compile/_global/streams/out b/project/project/project/target/streams/compile/compile/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/compile/compileIncremental/_global/streams/export b/project/project/project/target/streams/compile/compileIncremental/_global/streams/export new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/compile/compileIncremental/_global/streams/out b/project/project/project/target/streams/compile/compileIncremental/_global/streams/out new file mode 100755 index 0000000..9cca155 --- /dev/null +++ b/project/project/project/target/streams/compile/compileIncremental/_global/streams/out @@ -0,0 +1,6 @@ +[debug] [zinc] IncrementalCompile ----------- +[debug] IncrementalCompile.incrementalCompile +[debug] previous = Stamps for: 0 products, 0 sources, 0 libraries +[debug] current source = Set() +[debug] > initialChanges = InitialChanges(Changes(added = Set(), removed = Set(), changed = Set(), unmodified = ...),Set(),Set(),API Changes: Set()) +[debug] Full compilation, no sources in previous analysis. diff --git a/project/project/project/target/streams/compile/copyResources/_global/streams/out b/project/project/project/target/streams/compile/copyResources/_global/streams/out new file mode 100755 index 0000000..f25042f --- /dev/null +++ b/project/project/project/target/streams/compile/copyResources/_global/streams/out @@ -0,0 +1,2 @@ +[debug] Copy resource mappings:  +[debug]   diff --git a/project/project/project/target/streams/compile/dependencyClasspath/_global/streams/export b/project/project/project/target/streams/compile/dependencyClasspath/_global/streams/export new file mode 100755 index 0000000..b1694c2 --- /dev/null +++ b/project/project/project/target/streams/compile/dependencyClasspath/_global/streams/export @@ -0,0 +1 @@ +/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/compile/exportedProducts/_global/streams/export b/project/project/project/target/streams/compile/exportedProducts/_global/streams/export new file mode 100755 index 0000000..8d01fa8 --- /dev/null +++ b/project/project/project/target/streams/compile/exportedProducts/_global/streams/export @@ -0,0 +1 @@ +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export b/project/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export new file mode 100755 index 0000000..b1694c2 --- /dev/null +++ b/project/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export @@ -0,0 +1 @@ +/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/compile/incOptions/_global/streams/out b/project/project/project/target/streams/compile/incOptions/_global/streams/out new file mode 100755 index 0000000..7231079 --- /dev/null +++ b/project/project/project/target/streams/compile/incOptions/_global/streams/out @@ -0,0 +1,5 @@ +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] About to delete class files: +[debug] We backup class files: +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Removing the temporary directory used for backing up class files: /run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes.bak diff --git a/project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export b/project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export new file mode 100755 index 0000000..8b13789 --- /dev/null +++ b/project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export @@ -0,0 +1 @@ + diff --git a/project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/out b/project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/compile/managedClasspath/_global/streams/export b/project/project/project/target/streams/compile/managedClasspath/_global/streams/export new file mode 100755 index 0000000..b1694c2 --- /dev/null +++ b/project/project/project/target/streams/compile/managedClasspath/_global/streams/export @@ -0,0 +1 @@ +/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/compile/scalacOptions/_global/streams/out b/project/project/project/target/streams/compile/scalacOptions/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export b/project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export new file mode 100755 index 0000000..8b13789 --- /dev/null +++ b/project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export @@ -0,0 +1 @@ + diff --git a/project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/out b/project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/compile/unmanagedJars/_global/streams/export b/project/project/project/target/streams/compile/unmanagedJars/_global/streams/export new file mode 100755 index 0000000..8b13789 --- /dev/null +++ b/project/project/project/target/streams/compile/unmanagedJars/_global/streams/export @@ -0,0 +1 @@ + diff --git a/project/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export b/project/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export new file mode 100755 index 0000000..3f98510 --- /dev/null +++ b/project/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export @@ -0,0 +1 @@ +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/runtime/exportedProducts/_global/streams/export b/project/project/project/target/streams/runtime/exportedProducts/_global/streams/export new file mode 100755 index 0000000..8d01fa8 --- /dev/null +++ b/project/project/project/target/streams/runtime/exportedProducts/_global/streams/export @@ -0,0 +1 @@ +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export b/project/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export new file mode 100755 index 0000000..bbd3b52 --- /dev/null +++ b/project/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export @@ -0,0 +1 @@ +/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/runtime/fullClasspath/_global/streams/export b/project/project/project/target/streams/runtime/fullClasspath/_global/streams/export new file mode 100755 index 0000000..3f98510 --- /dev/null +++ b/project/project/project/target/streams/runtime/fullClasspath/_global/streams/export @@ -0,0 +1 @@ +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export b/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export new file mode 100755 index 0000000..8d01fa8 --- /dev/null +++ b/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export @@ -0,0 +1 @@ +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out b/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/runtime/managedClasspath/_global/streams/export b/project/project/project/target/streams/runtime/managedClasspath/_global/streams/export new file mode 100755 index 0000000..bbd3b52 --- /dev/null +++ b/project/project/project/target/streams/runtime/managedClasspath/_global/streams/export @@ -0,0 +1 @@ +/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export b/project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export new file mode 100755 index 0000000..8b13789 --- /dev/null +++ b/project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export @@ -0,0 +1 @@ + diff --git a/project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/out b/project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/project/target/streams/runtime/unmanagedJars/_global/streams/export b/project/project/project/target/streams/runtime/unmanagedJars/_global/streams/export new file mode 100755 index 0000000..8b13789 --- /dev/null +++ b/project/project/project/target/streams/runtime/unmanagedJars/_global/streams/export @@ -0,0 +1 @@ + diff --git a/project/project/target/config-classes/$6080031bccf8a03d91ba.cache b/project/project/target/config-classes/$3584e5917ebead612fb4.cache old mode 100644 new mode 100755 similarity index 100% rename from project/project/target/config-classes/$6080031bccf8a03d91ba.cache rename to project/project/target/config-classes/$3584e5917ebead612fb4.cache diff --git a/project/project/target/config-classes/$9fddc3a6676a1ed50a85.cache b/project/project/target/config-classes/$8336910b880f89dfa68a.cache old mode 100644 new mode 100755 similarity index 100% rename from project/project/target/config-classes/$9fddc3a6676a1ed50a85.cache rename to project/project/target/config-classes/$8336910b880f89dfa68a.cache diff --git a/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource b/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource new file mode 100755 index 0000000..9d348e7 --- /dev/null +++ b/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource @@ -0,0 +1 @@ +[[{},{}],{}] \ No newline at end of file diff --git a/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs b/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs new file mode 100755 index 0000000..7f426e8 --- /dev/null +++ b/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs @@ -0,0 +1 @@ +-2083014398 \ No newline at end of file diff --git a/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output b/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output new file mode 100755 index 0000000..5cdd450 --- /dev/null +++ b/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output @@ -0,0 +1 @@ +{"cachedDescriptor":".","configurations":[{"configuration":{"name":"compile"},"modules":[],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"plugin"},"modules":[],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"provided"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"runtime"},"modules":[],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[],"details":[]},{"configuration":{"name":"scala-doc-tool"},"modules":[],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.18","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-lang","name":"*","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.1.0/scala-xml_2.12-2.1.0.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.1.0/scala-xml_2.12-2.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The BSD License","http://www.opensource.org/licenses/bsd-license.php"]],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":true},"stamps":{}} \ No newline at end of file diff --git a/project/project/target/streams/_global/_global/_global/streams/out b/project/project/target/streams/_global/_global/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/_global/csrConfiguration/_global/streams/out b/project/project/target/streams/_global/csrConfiguration/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/_global/csrProject/_global/streams/out b/project/project/target/streams/_global/csrProject/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp b/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp new file mode 100755 index 0000000..c09d4f8 --- /dev/null +++ b/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp @@ -0,0 +1 @@ +-2132504562 \ No newline at end of file diff --git a/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp b/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp new file mode 100755 index 0000000..7a9f043 --- /dev/null +++ b/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp @@ -0,0 +1 @@ +{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","startLine"],"path":"(sbt.Classpaths.jvmBaseSettings) Defaults.scala","startLine":3342},"type":"LinePosition"}} \ No newline at end of file diff --git a/project/project/target/streams/_global/ivyConfiguration/_global/streams/out b/project/project/target/streams/_global/ivyConfiguration/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/_global/ivySbt/_global/streams/out b/project/project/target/streams/_global/ivySbt/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/_global/moduleSettings/_global/streams/out b/project/project/target/streams/_global/moduleSettings/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/_global/projectDescriptors/_global/streams/out b/project/project/target/streams/_global/projectDescriptors/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out b/project/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/_global/update/_global/streams/out b/project/project/target/streams/_global/update/_global/streams/out new file mode 100755 index 0000000..587fe53 --- /dev/null +++ b/project/project/target/streams/_global/update/_global/streams/out @@ -0,0 +1,3 @@ +[debug] not up to date. inChanged = true, force = false +[debug] Updating ProjectRef(uri("file:/run/media/gh0s7/Data/project/ddca2024/micore/project/project/"), "micore-build-build")... +[debug] Done updating ProjectRef(uri("file:/run/media/gh0s7/Data/project/ddca2024/micore/project/project/"), "micore-build-build") diff --git a/project/project/target/streams/compile/_global/_global/compileOutputs/previous b/project/project/target/streams/compile/_global/_global/compileOutputs/previous new file mode 100755 index 0000000..29633ad --- /dev/null +++ b/project/project/target/streams/compile/_global/_global/compileOutputs/previous @@ -0,0 +1 @@ +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data b/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data new file mode 100755 index 0000000..0637a08 --- /dev/null +++ b/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data @@ -0,0 +1 @@ +[] \ No newline at end of file diff --git a/project/project/target/streams/compile/bspReporter/_global/streams/out b/project/project/target/streams/compile/bspReporter/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/compile/compile/_global/streams/out b/project/project/target/streams/compile/compile/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/compile/compileIncremental/_global/streams/export b/project/project/target/streams/compile/compileIncremental/_global/streams/export new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/compile/compileIncremental/_global/streams/out b/project/project/target/streams/compile/compileIncremental/_global/streams/out new file mode 100755 index 0000000..9cca155 --- /dev/null +++ b/project/project/target/streams/compile/compileIncremental/_global/streams/out @@ -0,0 +1,6 @@ +[debug] [zinc] IncrementalCompile ----------- +[debug] IncrementalCompile.incrementalCompile +[debug] previous = Stamps for: 0 products, 0 sources, 0 libraries +[debug] current source = Set() +[debug] > initialChanges = InitialChanges(Changes(added = Set(), removed = Set(), changed = Set(), unmodified = ...),Set(),Set(),API Changes: Set()) +[debug] Full compilation, no sources in previous analysis. diff --git a/project/project/target/streams/compile/copyResources/_global/streams/out b/project/project/target/streams/compile/copyResources/_global/streams/out new file mode 100755 index 0000000..f25042f --- /dev/null +++ b/project/project/target/streams/compile/copyResources/_global/streams/out @@ -0,0 +1,2 @@ +[debug] Copy resource mappings:  +[debug]   diff --git a/project/project/target/streams/compile/dependencyClasspath/_global/streams/export b/project/project/target/streams/compile/dependencyClasspath/_global/streams/export new file mode 100755 index 0000000..b1694c2 --- /dev/null +++ b/project/project/target/streams/compile/dependencyClasspath/_global/streams/export @@ -0,0 +1 @@ +/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/compile/exportedProducts/_global/streams/export b/project/project/target/streams/compile/exportedProducts/_global/streams/export new file mode 100755 index 0000000..454272b --- /dev/null +++ b/project/project/target/streams/compile/exportedProducts/_global/streams/export @@ -0,0 +1 @@ +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export b/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export new file mode 100755 index 0000000..b1694c2 --- /dev/null +++ b/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export @@ -0,0 +1 @@ +/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/compile/incOptions/_global/streams/out b/project/project/target/streams/compile/incOptions/_global/streams/out new file mode 100755 index 0000000..66abc73 --- /dev/null +++ b/project/project/target/streams/compile/incOptions/_global/streams/out @@ -0,0 +1,5 @@ +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] About to delete class files: +[debug] We backup class files: +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Removing the temporary directory used for backing up class files: /run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes.bak diff --git a/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export b/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export new file mode 100755 index 0000000..8b13789 --- /dev/null +++ b/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export @@ -0,0 +1 @@ + diff --git a/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/out b/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/compile/managedClasspath/_global/streams/export b/project/project/target/streams/compile/managedClasspath/_global/streams/export new file mode 100755 index 0000000..b1694c2 --- /dev/null +++ b/project/project/target/streams/compile/managedClasspath/_global/streams/export @@ -0,0 +1 @@ +/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/compile/scalacOptions/_global/streams/out b/project/project/target/streams/compile/scalacOptions/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export b/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export new file mode 100755 index 0000000..8b13789 --- /dev/null +++ b/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export @@ -0,0 +1 @@ + diff --git a/project/project/target/streams/compile/unmanagedClasspath/_global/streams/out b/project/project/target/streams/compile/unmanagedClasspath/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/compile/unmanagedJars/_global/streams/export b/project/project/target/streams/compile/unmanagedJars/_global/streams/export new file mode 100755 index 0000000..8b13789 --- /dev/null +++ b/project/project/target/streams/compile/unmanagedJars/_global/streams/export @@ -0,0 +1 @@ + diff --git a/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export b/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export new file mode 100755 index 0000000..4c93399 --- /dev/null +++ b/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export @@ -0,0 +1 @@ +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/runtime/exportedProducts/_global/streams/export b/project/project/target/streams/runtime/exportedProducts/_global/streams/export new file mode 100755 index 0000000..454272b --- /dev/null +++ b/project/project/target/streams/runtime/exportedProducts/_global/streams/export @@ -0,0 +1 @@ +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export b/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export new file mode 100755 index 0000000..bbd3b52 --- /dev/null +++ b/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export @@ -0,0 +1 @@ +/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/runtime/fullClasspath/_global/streams/export b/project/project/target/streams/runtime/fullClasspath/_global/streams/export new file mode 100755 index 0000000..4c93399 --- /dev/null +++ b/project/project/target/streams/runtime/fullClasspath/_global/streams/export @@ -0,0 +1 @@ +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export b/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export new file mode 100755 index 0000000..454272b --- /dev/null +++ b/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export @@ -0,0 +1 @@ +/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out b/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/runtime/managedClasspath/_global/streams/export b/project/project/target/streams/runtime/managedClasspath/_global/streams/export new file mode 100755 index 0000000..bbd3b52 --- /dev/null +++ b/project/project/target/streams/runtime/managedClasspath/_global/streams/export @@ -0,0 +1 @@ +/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export b/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export new file mode 100755 index 0000000..8b13789 --- /dev/null +++ b/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export @@ -0,0 +1 @@ + diff --git a/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/out b/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/out new file mode 100755 index 0000000..e69de29 diff --git a/project/project/target/streams/runtime/unmanagedJars/_global/streams/export b/project/project/target/streams/runtime/unmanagedJars/_global/streams/export new file mode 100755 index 0000000..8b13789 --- /dev/null +++ b/project/project/target/streams/runtime/unmanagedJars/_global/streams/export @@ -0,0 +1 @@ + diff --git a/project/target/config-classes/$92eace74df3dd60b2407.cache b/project/target/config-classes/$2b8c65d0a3a3f42e1015.cache old mode 100644 new mode 100755 similarity index 100% rename from project/target/config-classes/$92eace74df3dd60b2407.cache rename to project/target/config-classes/$2b8c65d0a3a3f42e1015.cache diff --git a/project/target/config-classes/$87709882d327edfdfbe4.cache b/project/target/config-classes/$948efd6ee3ba8f09824e.cache old mode 100644 new mode 100755 similarity index 100% rename from project/target/config-classes/$87709882d327edfdfbe4.cache rename to project/target/config-classes/$948efd6ee3ba8f09824e.cache diff --git a/project/target/config-classes/$b99baa0e80f987ddc888.cache b/project/target/config-classes/$add241eb0c1a6339f011.cache old mode 100644 new mode 100755 similarity index 100% rename from project/target/config-classes/$b99baa0e80f987ddc888.cache rename to project/target/config-classes/$add241eb0c1a6339f011.cache diff --git a/project/target/config-classes/$bc99ac17cdce7fb9c74c.cache b/project/target/config-classes/$eac2b8278586e49cb092.cache old mode 100644 new mode 100755 similarity index 100% rename from project/target/config-classes/$bc99ac17cdce7fb9c74c.cache rename to project/target/config-classes/$eac2b8278586e49cb092.cache diff --git a/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp b/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp index 93fa874..00763b6 100755 --- a/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp +++ b/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp @@ -1 +1 @@ -{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/home/gh0s7/project/ddca/micore/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"},"{\"organization\":\"ch.epfl.scala\",\"name\":\"sbt-bloop\",\"revision\":\"2.0.6\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{\"e:sbtVersion\":\"1.0\",\"e:scalaVersion\":\"2.12\"},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/home/gh0s7/project/ddca/micore/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"}} \ No newline at end of file +{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/run/media/gh0s7/Data/project/ddca2024/micore/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"},"{\"organization\":\"ch.epfl.scala\",\"name\":\"sbt-bloop\",\"revision\":\"2.0.6\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{\"e:sbtVersion\":\"1.0\",\"e:scalaVersion\":\"2.12\"},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/run/media/gh0s7/Data/project/ddca2024/micore/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"}} \ No newline at end of file diff --git a/project/target/streams/compile/_global/_global/compileOutputs/previous b/project/target/streams/compile/_global/_global/compileOutputs/previous index 3cd4ba7..3f53060 100755 --- a/project/target/streams/compile/_global/_global/compileOutputs/previous +++ b/project/target/streams/compile/_global/_global/compileOutputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/project/target/streams/compile/exportedProducts/_global/streams/export b/project/target/streams/compile/exportedProducts/_global/streams/export index faf6770..4006493 100755 --- a/project/target/streams/compile/exportedProducts/_global/streams/export +++ b/project/target/streams/compile/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes +/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/compile/incOptions/_global/streams/out b/project/target/streams/compile/incOptions/_global/streams/out index 96aaeb5..44649e0 100755 --- a/project/target/streams/compile/incOptions/_global/streams/out +++ b/project/target/streams/compile/incOptions/_global/streams/out @@ -1,5 +1,5 @@ -[debug] Created transactional ClassFileManager with tempDir = /home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes.bak [debug] About to delete class files: [debug] We backup class files: -[debug] Created transactional ClassFileManager with tempDir = /home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes.bak -[debug] Removing the temporary directory used for backing up class files: /home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Removing the temporary directory used for backing up class files: /run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes.bak diff --git a/project/target/streams/runtime/dependencyClasspath/_global/streams/export b/project/target/streams/runtime/dependencyClasspath/_global/streams/export index 69ab39c..f810de7 100755 --- a/project/target/streams/runtime/dependencyClasspath/_global/streams/export +++ b/project/target/streams/runtime/dependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar +/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/target/streams/runtime/exportedProducts/_global/streams/export b/project/target/streams/runtime/exportedProducts/_global/streams/export index faf6770..4006493 100755 --- a/project/target/streams/runtime/exportedProducts/_global/streams/export +++ b/project/target/streams/runtime/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes +/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/runtime/fullClasspath/_global/streams/export b/project/target/streams/runtime/fullClasspath/_global/streams/export index 69ab39c..f810de7 100755 --- a/project/target/streams/runtime/fullClasspath/_global/streams/export +++ b/project/target/streams/runtime/fullClasspath/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar +/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export b/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export index faf6770..4006493 100755 --- a/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export +++ b/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes +/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes diff --git a/src/hex/addi.hex b/src/hex/addi.hex new file mode 100755 index 0000000..8e887b9 --- /dev/null +++ b/src/hex/addi.hex @@ -0,0 +1,8 @@ +10 +00 +09 +20 +14 +45 +11 +00 diff --git a/src/main/scala/common/Consts.scala b/src/main/scala/common/Consts.scala index 162c274..893ffc1 100755 --- a/src/main/scala/common/Consts.scala +++ b/src/main/scala/common/Consts.scala @@ -6,9 +6,9 @@ import chisel3.util._ object Consts { // 数据宽度和地址 val WORD_LEN = 32 // 指令和数据的宽度为32位 - val START_ADDR = 0x00400000.U(WORD_LEN.W) // MIPS程序的起始地址通常为0x00400000 - val BUBBLE = 0x00000000.U(WORD_LEN.W) // 用于冒泡的指令 [NOP] - val UNIMP = 0x00114514.U(WORD_LEN.W) // 未实现指令 [NOP] + val START_ADDR = 0x00000000.U(WORD_LEN.W) // MIPS程序的起始地址通常为0x00400000 + val BUBBLE = 0x20000000.U(WORD_LEN.W) // 用于冒泡的指令 [NOP] + val UNIMP = 0x00114514.U(WORD_LEN.W) // 未实现指令 // 寄存器地址长度 val ADDR_LEN = 5 // rs、rt和rd的地址宽度为5位 @@ -28,8 +28,8 @@ object Consts { val ALU_SLTU = 10.U(EXE_FUN_LEN.W) // 无符号比较小于操作 val BR_BEQ = 11.U(EXE_FUN_LEN.W) // 分支相等 val BR_BNE = 12.U(EXE_FUN_LEN.W) // 分支不等 - val ALU_JALR = 13.U(EXE_FUN_LEN.W) // JALR跳转(MIPS中没有JALR,但可以模拟) - val ALU_COPY1 = 14.U(EXE_FUN_LEN.W) // 复制操作 + // val ALU_JALR = 13.U(EXE_FUN_LEN.W) // JALR跳转(MIPS中没有JALR,但可以模拟) + val ALU_COPY1 = 13.U(EXE_FUN_LEN.W) // 复制操作 // 操作数选择 val OP1_LEN = 2 // 操作数1的选择宽度为2位 diff --git a/src/main/scala/common/Instructions.scala b/src/main/scala/common/Instructions.scala index 2e1a83d..8bd1912 100755 --- a/src/main/scala/common/Instructions.scala +++ b/src/main/scala/common/Instructions.scala @@ -9,16 +9,16 @@ object Instructions { val SW = BitPat("b101011????????????????????????????") // sw rt, offset(rs) // * 算术运算 - val ADD = BitPat("b000000???????????????00000100000") // add rd, rs, rt + val ADD = BitPat("b000000????????????????????100000") // add rd, rs, rt val ADDI = BitPat( "b001000????????????????????????????" ) // addi rt, rs, immediate - val SUB = BitPat("b000000???????????????00000100010") // sub rd, rs, rt + val SUB = BitPat("b000000????????????????????100010") // sub rd, rs, rt // * 逻辑运算 - val AND = BitPat("b000000???????????????00000100100") // and rd, rs, rt - val OR = BitPat("b000000???????????????00000100101") // or rd, rs, rt - val XOR = BitPat("b000000???????????????00000100110") // xor rd, rs, rt + val AND = BitPat("b000000????????????????????100100") // and rd, rs, rt + val OR = BitPat("b000000????????????????????100101") // or rd, rs, rt + val XOR = BitPat("b000000????????????????????100110") // xor rd, rs, rt val ANDI = BitPat( "b001100????????????????????????????" ) // andi rt, rs, immediate @@ -27,7 +27,7 @@ object Instructions { ) // ori rt, rs, immediate // * 比较 - val SLT = BitPat("b000000???????????????00000101010") // slt rd, rs, rt + val SLT = BitPat("b000000????????????????????101010") // slt rd, rs, rt // * 条件分支 val BEQ = BitPat("b000100????????????????????????????") // beq rs, rt, offset @@ -39,12 +39,9 @@ object Instructions { val SRA = BitPat("b00000000000???????????????000011") // sra rd, rt, shamt // * 跳转 - val JR = BitPat("b000000????????????0000000000001000") // jr rs + val JR = BitPat("b000000??????????????????????001000") // jr rs val JAL = BitPat("b000011????????????????????????????") // jal target - // * 立即数加载 - val LUI = BitPat("b00111100000???????????????????????") // lui rt, immediate - // * NOP - val NOP = BitPat("b00000000000000000000000000000000") // nop (sll $0, $0, 0) + val NOP = BitPat("b00100000000000000000000000000000") // nop (addi $0, $0, 0) } diff --git a/src/main/scala/micore/Core.scala b/src/main/scala/micore/Core.scala index 5b0971f..2bf205e 100755 --- a/src/main/scala/micore/Core.scala +++ b/src/main/scala/micore/Core.scala @@ -33,7 +33,6 @@ class Core extends Module { val exe_reg_imm_s_sext = RegInit(0.U(WORD_LEN.W)) val exe_reg_imm_b_sext = RegInit(0.U(WORD_LEN.W)) val exe_reg_imm_u_shifted = RegInit(0.U(WORD_LEN.W)) - val exe_reg_imm_z_uext = RegInit(0.U(WORD_LEN.W)) // EX/MEM state val mem_reg_pc = RegInit(0.U(WORD_LEN.W)) @@ -43,7 +42,6 @@ class Core extends Module { val mem_reg_mem_wen = RegInit(0.U(MEN_LEN.W)) val mem_reg_rf_wen = RegInit(0.U(REN_LEN.W)) val mem_reg_wb_sel = RegInit(0.U(WB_SEL_LEN.W)) - val mem_reg_imm_z_uext = RegInit(0.U(WORD_LEN.W)) val mem_reg_alu_out = RegInit(0.U(WORD_LEN.W)) // MEM/WB state @@ -157,8 +155,8 @@ class Core extends Module { SRA -> List(ALU_SRA, OP1_RS1, OP2_RS2, MEN_X, REN_X, WB_ALU), JR -> List(ALU_X, OP1_RS1, OP2_X, MEN_X, REN_X, WB_X), JAL -> List(ALU_X, OP1_PC, OP2_X, MEN_X, REN_X, WB_X), - LUI -> List(ALU_X, OP1_X, OP2_IMU, MEN_X, REN_X, WB_ALU), NOP -> List(ALU_X, OP1_X, OP2_X, MEN_X, REN_X, WB_X) + // LUI -> List(ALU_X, OP1_X, OP2_IMU, MEN_X, REN_X, WB_ALU), ) ) @@ -219,10 +217,7 @@ class Core extends Module { 0 )).asUInt, (exe_reg_exe_fun === ALU_SLT) -> (exe_reg_op1_data.asSInt < exe_reg_op2_data.asSInt).asUInt, - (exe_reg_exe_fun === ALU_SLTU) -> (exe_reg_op1_data < exe_reg_op2_data).asUInt, - (exe_reg_exe_fun === ALU_JALR) -> ((exe_reg_op1_data + exe_reg_op2_data) & (~1 - .U(WORD_LEN.W))), - (exe_reg_exe_fun === ALU_COPY1) -> exe_reg_op1_data + (exe_reg_exe_fun === ALU_SLTU) -> (exe_reg_op1_data < exe_reg_op2_data).asUInt ) ) @@ -246,7 +241,6 @@ class Core extends Module { mem_reg_alu_out := exe_alu_out mem_reg_rf_wen := exe_reg_rf_wen mem_reg_wb_sel := exe_reg_wb_sel - mem_reg_imm_z_uext := exe_reg_imm_z_uext mem_reg_mem_wen := exe_reg_mem_wen // ********* Memory (MEM) Stage ********* @@ -279,6 +273,9 @@ class Core extends Module { printf(p"id_inst: 0x${Hexadecimal(id_inst)}\n") printf(p"id_rs1_data: 0x${Hexadecimal(id_rs1_data)}\n") printf(p"id_rs2_data: 0x${Hexadecimal(id_rs2_data)}\n") + printf(p"id_exe_fun: 0x${Hexadecimal(id_exe_fun)}\n") + printf(p"id_op1_sel: 0x${Hexadecimal(id_op1_sel)}\n") + printf(p"id_op2_sel: 0x${Hexadecimal(id_op2_sel)}\n") printf(p"exe_reg_pc: 0x${Hexadecimal(exe_reg_pc)}\n") printf(p"exe_reg_op1_data: 0x${Hexadecimal(id_op1_data)}\n") printf(p"exe_reg_op2_data: 0x${Hexadecimal(id_op2_data)}\n") @@ -287,24 +284,4 @@ class Core extends Module { printf(p"mem_wb_data: 0x${Hexadecimal(mem_wb_data)}\n") printf(p"wb_reg_wb_data: 0x${Hexadecimal(wb_reg_wb_data)}\n") printf(p"---------------------\n") - - // io.gp := regfile(3) - // printf(p"---------------\n") - // printf( - // p"io.pc: 0x${Hexadecimal(pc_reg)}\ninst: 0x${Hexadecimal(inst)} \n" - // ) - // // printf( - // // p"rs1_addr: 0x${Hexadecimal(rs1_addr)} rs2_addr: 0x${Hexadecimal(rs2_addr)} wb_addr: 0x${Hexadecimal(wb_addr)}\n" - // // ) - // // printf(p"gp: 0x${Hexadecimal(regfile(3))}\n") - // printf(p"rs1_addr: 0x${Hexadecimal(rs1_addr)}\n") - // // printf(p"rs2_data: 0x${Hexadecimal(rs2_data)}\n") - // printf(p"wb_addr: 0x${Hexadecimal(wb_addr)}\n") - // printf(p"rs1_data: 0x${Hexadecimal(rs1_data)}\n") - // printf(p"wb_data: 0x${Hexadecimal(wb_data)}\n") - // // printf(p"dmem.addr: 0x${Hexadecimal(io.dmem.addr)}\n") - // // printf(p"dmem.wen: ${io.dmem.wen}\n") - // // printf(p"dmem.wdata: 0x${Hexadecimal(io.dmem.wdata)}\n") - // printf(p"---------------\n") - } diff --git a/src/main/scala/micore/Memory.scala b/src/main/scala/micore/Memory.scala index 40d003d..9eb8386 100755 --- a/src/main/scala/micore/Memory.scala +++ b/src/main/scala/micore/Memory.scala @@ -31,7 +31,7 @@ class Memory extends Module { val mem = Mem(4096, UInt(8.W)) // 加载存储器的初始值。 - loadMemoryFromFileInline(mem, "src/hex/mem.hex") + loadMemoryFromFileInline(mem, "src/hex/addi.hex") // 连接四个地址存储的八位数据形成一个32位的指令。 io.imem.inst := Cat( diff --git a/src/main/scala/sicore/Core.scala b/src/main/scala/sicore/Core.scala new file mode 100755 index 0000000..d49de9e --- /dev/null +++ b/src/main/scala/sicore/Core.scala @@ -0,0 +1,151 @@ +package sicore + +import chisel3._ +import chisel3.util._ +import common.Consts._ +import common.Instructions._ + +class Core extends Module { + val io = IO(new Bundle { + val imem = Flipped(new ImemPortIo()) + val dmem = Flipped(new DmemPortIo()) + val exit = Output(Bool()) + }) + + // 寄存器文件 + val regfile = Mem(32, UInt(WORD_LEN.W)) + + // ********* Instruction Fetch (IF) Stage ********* + val pc_reg = RegInit(START_ADDR) + io.imem.addr := pc_reg + val inst = io.imem.inst + val pc_plus4 = pc_reg + 4.U(WORD_LEN.W) + val br_flg = Wire(Bool()) + val br_target = Wire(UInt(WORD_LEN.W)) + val jmp_flg = (inst === JAL || inst === JR) + val alu_out = Wire(UInt(WORD_LEN.W)) + + val pc_next = MuxCase( + pc_plus4, + Seq( + br_flg -> br_target, + jmp_flg -> alu_out + ) + ) + + pc_reg := pc_next + + // ********* Decode (ID) Stage ********* + val rs_addr = inst(25, 21) // rs_addr为指令的rs字段 + val rt_addr = inst(20, 16) // rt_addr为指令的rt字段 + val rd_addr = inst(15, 11) // rd_addr为指令的rd字段 + val rs_data = Mux((rs_addr =/= 0.U), regfile(rs_addr), 0.U(WORD_LEN.W)) + val rt_data = Mux((rt_addr =/= 0.U), regfile(rt_addr), 0.U(WORD_LEN.W)) + val imm_i = inst(15, 0) + val imm_i_sext = Cat(Fill(16, imm_i(15)), imm_i) + val imm_j = Cat(inst(25, 0), Fill(2, 0.U(1.W))) + + val csignals = ListLookup( + inst, + List(ALU_X, OP1_RS1, OP2_RS2, MEN_X, REN_X, WB_X), + Array( + LW -> List(ALU_ADD, OP1_RS1, OP2_IMI, MEN_X, REN_S, WB_MEM), + SW -> List(ALU_ADD, OP1_RS1, OP2_IMI, MEN_S, REN_X, WB_X), + ADD -> List(ALU_ADD, OP1_RS1, OP2_RS2, MEN_X, REN_S, WB_ALU), + ADDI -> List(ALU_ADD, OP1_RS1, OP2_IMI, MEN_X, REN_S, WB_ALU), + SUB -> List(ALU_SUB, OP1_RS1, OP2_RS2, MEN_X, REN_S, WB_ALU), + AND -> List(ALU_AND, OP1_RS1, OP2_RS2, MEN_X, REN_S, WB_ALU), + OR -> List(ALU_OR, OP1_RS1, OP2_RS2, MEN_X, REN_S, WB_ALU), + XOR -> List(ALU_XOR, OP1_RS1, OP2_RS2, MEN_X, REN_S, WB_ALU), + ANDI -> List(ALU_AND, OP1_RS1, OP2_IMI, MEN_X, REN_S, WB_ALU), + ORI -> List(ALU_OR, OP1_RS1, OP2_IMI, MEN_X, REN_S, WB_ALU), + SLT -> List(ALU_SLT, OP1_RS1, OP2_RS2, MEN_X, REN_S, WB_ALU), + BEQ -> List(BR_BEQ, OP1_RS1, OP2_RS2, MEN_X, REN_X, WB_X), + BNE -> List(BR_BNE, OP1_RS1, OP2_RS2, MEN_X, REN_X, WB_X), + SLL -> List(ALU_SLL, OP1_RS1, OP2_RS2, MEN_X, REN_S, WB_ALU), + SRL -> List(ALU_SRL, OP1_RS1, OP2_RS2, MEN_X, REN_S, WB_ALU), + SRA -> List(ALU_SRA, OP1_RS1, OP2_RS2, MEN_X, REN_S, WB_ALU), + JAL -> List(ALU_ADD, OP1_PC, OP2_IMJ, MEN_X, REN_S, WB_PC), + JR -> List(ALU_COPY1, OP1_RS1, OP2_X, MEN_X, REN_X, WB_X) // 添加JR指令 + ) + ) + + val exe_fun :: op1_sel :: op2_sel :: mem_wen :: rf_wen :: wb_sel :: Nil = + csignals + + val op1_data = MuxCase( + 0.U(WORD_LEN.W), + Seq( + (op1_sel === OP1_RS1) -> rs_data, + (op1_sel === OP1_PC) -> pc_reg + ) + ) + + val op2_data = MuxCase( + 0.U(WORD_LEN.W), + Seq( + (op2_sel === OP2_RS2) -> rt_data, + (op2_sel === OP2_IMI) -> imm_i_sext, + (op2_sel === OP2_IMJ) -> imm_j + ) + ) + + // ********* Execute (EX) Stage ********* + alu_out := MuxCase( + 0.U(WORD_LEN.W), + Seq( + (exe_fun === ALU_ADD) -> (op1_data + op2_data), + (exe_fun === ALU_SUB) -> (op1_data - op2_data), + (exe_fun === ALU_AND) -> (op1_data & op2_data), + (exe_fun === ALU_OR) -> (op1_data | op2_data), + (exe_fun === ALU_XOR) -> (op1_data ^ op2_data), + (exe_fun === ALU_SLL) -> (op1_data << op2_data(4, 0))(31, 0), + (exe_fun === ALU_SRL) -> (op1_data >> op2_data(4, 0)).asUInt, + (exe_fun === ALU_SRA) -> (op1_data.asSInt >> op2_data(4, 0)).asUInt, + (exe_fun === ALU_SLT) -> (op1_data.asSInt < op2_data.asSInt).asUInt, + (exe_fun === ALU_COPY1) -> op1_data // 添加JR指令的处理 + ) + ) + + br_flg := MuxCase( + false.B, + Seq( + (exe_fun === BR_BEQ) -> (op1_data === op2_data), + (exe_fun === BR_BNE) -> !(op1_data === op2_data) + ) + ) + + // 修复:将移位操作数的位宽限制为5位 + br_target := pc_reg + (imm_i_sext << 2.U(5.W)) + + // ********* Memory (MEM) Stage ********* + io.dmem.addr := alu_out + io.dmem.wen := mem_wen + io.dmem.wdata := rt_data + + // ********* Write Back (WB) Stage ********* + val wb_data = MuxCase( + alu_out, + Seq( + (wb_sel === WB_MEM) -> io.dmem.rdata, + (wb_sel === WB_PC) -> pc_plus4 + ) + ) + + // 写回寄存器文件 + when(rf_wen === REN_S && rd_addr =/= 0.U) { + regfile(rd_addr) := wb_data + } + + io.exit := (inst === UNIMP) + + // ********* Debugging ********* + printf(p"---------------\n") + printf(p"io.pc: 0x${Hexadecimal(pc_reg)}\ninst: 0x${Hexadecimal(inst)} \n") + printf(p"pc_next: 0x${Hexadecimal(pc_next)}\n") + printf(p"rs_addr: 0x${Hexadecimal(rs_addr)}\n") + printf(p"rd_addr: 0x${Hexadecimal(rd_addr)}\n") + printf(p"rs_data: 0x${Hexadecimal(rs_data)}\n") + printf(p"wb_data: 0x${Hexadecimal(wb_data)}\n") + printf(p"---------------\n") +} diff --git a/src/main/scala/sicore/Memory.scala b/src/main/scala/sicore/Memory.scala new file mode 100755 index 0000000..97d4bca --- /dev/null +++ b/src/main/scala/sicore/Memory.scala @@ -0,0 +1,59 @@ +package sicore + +import chisel3._ +import chisel3.util._ +import common.Consts._ +import chisel3.util.experimental.loadMemoryFromFileInline + +/** 表示一个指令内存端口接口的类 + */ +class ImemPortIo extends Bundle { + val addr = Input(UInt(WORD_LEN.W)) + val inst = Output(UInt(WORD_LEN.W)) +} + +/** 表示一个数据内存端口接口的类 + */ +class DmemPortIo extends Bundle { + val addr = Input(UInt(WORD_LEN.W)) + val rdata = Output(UInt(WORD_LEN.W)) + val wen = Input(Bool()) + val wdata = Input(UInt(WORD_LEN.W)) +} + +class Memory extends Module { + val io = IO(new Bundle { + val imem = new ImemPortIo() + val dmem = new DmemPortIo() + }) + + // 生成八位宽x4096(4KB)寄存器的存储器。 + val mem = Mem(4096, UInt(8.W)) + + // 加载存储器的初始值。 + loadMemoryFromFileInline(mem, "src/hex/mem.hex") + + // 连接四个地址存储的八位数据形成一个32位的指令。 + io.imem.inst := Cat( + mem(io.imem.addr + 3.U(WORD_LEN.W)), + mem(io.imem.addr + 2.U(WORD_LEN.W)), + mem(io.imem.addr + 1.U(WORD_LEN.W)), + mem(io.imem.addr) + ) + + // 连接四个地址存储的八位数据形成一个32位的数据。 + io.dmem.rdata := Cat( + mem(io.dmem.addr + 3.U(WORD_LEN.W)), + mem(io.dmem.addr + 2.U(WORD_LEN.W)), + mem(io.dmem.addr + 1.U(WORD_LEN.W)), + mem(io.dmem.addr) + ) + + // 写数据到存储器。 + when(io.dmem.wen) { + mem(io.dmem.addr) := io.dmem.wdata(7, 0) + mem(io.dmem.addr + 1.U(WORD_LEN.W)) := io.dmem.wdata(15, 8) + mem(io.dmem.addr + 2.U(WORD_LEN.W)) := io.dmem.wdata(23, 16) + mem(io.dmem.addr + 3.U(WORD_LEN.W)) := io.dmem.wdata(31, 24) + } +} diff --git a/src/main/scala/sicore/Top.scala b/src/main/scala/sicore/Top.scala new file mode 100755 index 0000000..05bc3c9 --- /dev/null +++ b/src/main/scala/sicore/Top.scala @@ -0,0 +1,30 @@ +package sicore + +import chisel3._ +// _root_ disambiguates from package chisel3.util.circt if user imports chisel3.util._ +import _root_.circt.stage.ChiselStage +import common.Consts._ + +class TopOrigin extends Module { + val io = IO(new Bundle { + val exit = Output(Bool()) + }) + val core = Module(new Core()) + val memory = Module(new Memory()) + core.io.imem <> memory.io.imem + core.io.dmem <> memory.io.dmem + io.exit := core.io.exit +} + +/** Generate Verilog sources and save it in file + */ +object TopOrigin extends App { + ChiselStage.emitSystemVerilogFile( + new TopOrigin, + firtoolOpts = Array( + "--disable-all-randomization", + "--strip-debug-info", + "--O=release" + ) + ) +} diff --git a/src/test/scala/micore/MicoreSpec.scala b/src/test/scala/micore/MicoreSpec.scala index 879f293..7c9f8b1 100755 --- a/src/test/scala/micore/MicoreSpec.scala +++ b/src/test/scala/micore/MicoreSpec.scala @@ -9,6 +9,7 @@ class CTest extends AnyFlatSpec with ChiselScalatestTester { "Micore" should "run the C program" in { test(new TopOrigin) { c => while (!c.io.exit.peek().litToBoolean) { c.clock.step(1) } + c.clock.step(1) } } } diff --git a/src/test/scala/sicore/SicoreSpec.scala b/src/test/scala/sicore/SicoreSpec.scala new file mode 100755 index 0000000..10e1199 --- /dev/null +++ b/src/test/scala/sicore/SicoreSpec.scala @@ -0,0 +1,15 @@ +package sicore + +import chisel3._ +import chiseltest._ +import org.scalatest.flatspec.AnyFlatSpec +import org.scalatest.matchers.should.Matchers + +class MemTest extends AnyFlatSpec with ChiselScalatestTester { + "Sicore" should "run the C program" in { + test(new TopOrigin) { c => + while (!c.io.exit.peek().litToBoolean) { c.clock.step(1) } + c.clock.step(1) + } + } +} diff --git a/target/scala-2.13/-name-_2.13-0.1.0.jar b/target/scala-2.13/-name-_2.13-0.1.0.jar old mode 100644 new mode 100755 index a9270ff5126cb1df18593eafabd35839f0be29b3..e15fe4c193af511fbca87e2407f50e5e73b40aab GIT binary patch literal 92269 zcmag_1CV7;@GlOwZQHhO+cu_c+qP}nc2C>3d)nQ%ZOv?d_xIxeb|c=ty*J`SRn{jF zSrwIaD)T7Gf`Xv|K|w(Q0Ra*7{XG7k9~uxCki58>Fuk;b1f#sLg0zIVsv3j5#NR0( zphx+cX*pSXhDCT;dYZYJ`4$zX71o0j7zQc%Ik{;$1-i+Z`DOS?x=HCa6{TmFCpUHR zj8Gf4h2dg$I(A0#fe9JmRl$kf#d+2#=8@%n#k7oR)(#>{c24#Nuo`&F=5q}rDO58^ zv8;Lvm~t5d1|TI_NGM2hSuv*{^Pqr%e)^zbFh9-zto{Es8}f%@>R@N*V9)q}2iaMf zIyjsC{{gxDZ_xiQFa1C2L>=s1TwTZ*Ol^%_T>5f-eDTyb#|zFjf3Zkcheu311}4#>Bv(*Sdm>l)q-nnvpx$K7g=7X34X?$!lzt*diuO?e;LE(I zYr>IvzfiuA%f2AI5L!yZJKQ>4<0~Lgd^`w=GJz_46_RTGRtoh>>T-L%!4_afq#%~V z_CYju`fzzESGd_PsI`kZ5s&q_dAF$Gnk3d#gae^Dmzwk!e)DI zWpzt0*r+YOE*}D{&qr5sxqj``9n4mk>U^ia%Jj2dUS1v_N>!)N#I>z792co|tbS~4 z%{+9c{w2M=1j!X4^-_A4H`~MR!a76arhKhlpBL$YYjeCF?zU0EGfC zPYn`VKaX`wC;Ds19~!FuniAIfdYvtuuNsAa)-?gEqpLdr^!x_*%G45GU&V+A$E_%( zh274YmM(Q3mu}q63OCN1t(B>hOZ%PGwVm+9?OiH?ljGgKVMr8E^1iCQ!(kCsKev#T%02Y{C9TU7|csU5shKUfd+%#k`DO@H3>zj2Aa86-TgN|h1dtcSzu@TLp zCi8-aqoKo8fX8DYzOnI@m@zyY4-H}a??s*&u}lq}HJqgVsiZc70InCJ#sU#O59U9awqY zIfYV3^&cv~A_?ejWv_D98LMfX-5EJp8;Yhnep-P}h98Y#cG&hBxfC}vw0LlB8Vyns zDFgKwbyNu>irAYN72dLi4lOln+?S{|ofuVHban`;ZyE`qyleqAA{H?@Id%^4I}vRr z0l2xiR(zb3)!WL53pg_6CqS7CF%@V6?HUPxW9h$pISvM9F~#gB|J6$jkb;CXuKxFR zd@C%S20Z*AjL*i-wFwdG2f?M{n@u^lXv`6O5;N)mWiy|;)glK!*B+$Bt7|QIWaS2W zI^pr^vPVB1NaA%Ra;wpV;3A1S(g1_nPX%qr!rr+Oj)i(EGo;CPErEYZykXj!lbx;g zJ)rXKrW)d~kvM$mrSeU$=+}<$7;-sRFQE~jSv_E3edmYktj%v&9ZWDdMwB21Q|f*`l?&2U3rp-a@zSUC z!$39U({@3-PpLYfXocsz(NeETS6BPHQBBaq`mPfGz1DzibwI-!)|Cpo;s)umqV&T` zHDrHFY48%I%3q@@MmS>hXf;RcyI5`Dm-QVaE=7}fliEM!D$Za8*|C6!>)O99Y6HgB zcbK?v8jMMo12oT@g~KYLLxtTjCjl7`0hu^o%i)uBhBPPt(w&c^Y4IP~QQ)y+1e5># z%f<%sX+L_xIUJ1i`l!u44WzyE87)>eTiWohCS|0OtTvTe_OaBm2 z9k$}os%6ah$Wmzl#cGuEy>N{0HM`$EDH(7rPCEfao4Lcv!DDVQrM2iXwCK{cjn?Wj ze^6JZXRV&7^v$d|cENMhXiB+-sX6?5o?2ul)^)*XNdB{09?s5z*6dk^wk~_Wsh13+ zo$U4pBl3U# z!?I`&`O;HqcWG_NdK1K?qv{X=*SwL3VRan3jp46Gf~H6F2dYXQ6Z?+cu$+dbteZ+D zeUSmXL0{S`rS!AE>aYN=d6RdV+B8OyR;6Zw<|8JsSxO+JJiKE2Z{ug{s&x4wiGv6w zQoGc=6m_oF8_ix1>M>c;UJ? zs%GxN+bkUa{qU|@yF{HuygN`}(6y-qXZ`wWvjt=P;0ud!eepRvu~YYnl{*UDQz!eY zU-lix)ie0NRguX6dzWCj*V`EepzQ$bU>u|_$bm(mefH# z>ad1IR$Il}ku&HYR>@NOM&M#+S||W~F$>`glONNvn5MEh6Az-18|q z7LyT#RLw5K@hF7n8(BX&;mVAKPhlr?0*g2Md*G%f-uuYKC3Dc7zTLOrIfW>sI`x%H zP;NH<^2M9C7=h;@I#MZ@_~xL4pKQ=S=A=3bmx~LxqdMtyazXn+CmB~{mAnv>S_KdGL-;Qd4{+S1p!t)S|Jv9i2h`f$$TDFtE3NQpcqkTZ_qtj>IJ!$9i&8 zEpgaT;ufgw%Np({AFgL%|7eF-$HU<0PQWFDBzWRiExFxBBK+K&5cb&#G<)dlS}P=+ zdLzui(YFwN-U#*qeeM(%OmgDP#);c#v<5(`i#~J;b51u7mrHbPH1b5ACAsee!bA=1 z5^0Y8n^`$Wz_kwc_KJmRQ@jzLZMl*WEdty)~>V2h>iz}p#vuS`1eUn|_7d^?km z^LH5Dy1)OU+D}&U_h*8d;jhLdCY`Kz0==`YY#{n*j()b2j~4i=@$neF{ZwU#ES&Gv zaCkg)Eax$JEm~H;^}>P4yPv@Dy&N8ATF{GQJ_i5M0q3*7at?~i5eIt&Y_>zh{Ve{E zy$x$)f$N2Is>pOFa#T!>ZhXb0i^DeD4?Gc6_pPU$k~{lu!$vHLnRxV#1vFZ*iOrn>$|$4um-6ImNJM}Fg1sW(MwUeG22bG$;2;Y} zwQYZWEB%C@f(0L6cT z&yi^_eR&~0j^At7+9Kt5NILDPxz> ztO4+(t<`+mr0y0jwO#(@F>BDgfJn1PyU;NB0u59Y5wH!X7~F6T+%a>WqP5t+7UFdX zO27}ssCh%e;|8$ecNJ^eG)+M(iEb7*JO;10D8YZF4)GdW6su|XQzG5Shy@kiOpA>z*cV~+tdLEa|;a}U+`mI3p% zw9hBZqu}=1jXCWlnv<}Pa{wtqPj29Y%D@k;!T*MsPgTp}z3Hs;ZPT^Z^YtEN-=`?X zP|k-DeQ#P#;m?WW?Fr)u?GM8@)t>*;-d~a4t#JxhB&p|1w}J_9iWaz2C@dy>AlrVM{6uKi>@3(Rlh_(pM$3TlwG5P)#d z0ufk=2{nX)@!(U4z*P?djA(obY&#)f4h1qH7=OFIL75-mWk$I%!S)RP7S4>z7{vQx zMmr2)!ZZx?N(CKlMZ!=fPgUZjD;LlbDTq+{z)4*+rmENxe=!4%n6P?5f+n`9W8}AhESP@v*iP8q zkuP2n&xG2CZB!w_o-o!!V&4%n(oPI2`brTb_OTR) z%tul~jn2dm=AIePJu^2@&vaokr-(4Se4Q=vL%0M*aPN=z)-#QnHexsh|OO zZG`9@i|#2U#;^#Lhl`v_sBLKSPn-xG%Y%3EnTBl^WqKiJI{0MP_|6!jpH|0a4k^ui zLCqxa&9n)e;)iu{kecgIm2-i(v_y1Gj`!9uahi?DA&$~T4(?nS-`p|(Q_AFJF)8<$+2eC(4)1HgKA!xGK8$!#x$%Z z7!f8K5=J#KIrA!&-BWe*Qm!#D*SNtXWKINk@ zE?(g@HPB(TQB*PO9ufn$a+PKl$gh5^F!#hW&G-#*!v6*f~nefP&l6*76 z0_8Hs1apzvgwdN=L0((Kd?%*W=%-b&nea!<>BF+?FsP6Mzkr#1LN84ttgfL}6NILX zbpkRu@XJq!SB5qd{I}lIha=ci`{gbw;+1-KFH|50jko+UOUI_1wa0AsW!hyXqa`Z) z6XPdg^o+QD--zJ&j+dI04?w05)1H*>?zMv`wGn=TDQLmr5@qpV3SC6TF=gd>zErIp zw{I>noKKYOXK`cu$sJ4p6bB`(ZPCdccO(-4o#9#B7?wVQ6E4)qrDaH=1y4W-IlOPH zOi{wPLL1>$5bC$JwsD0nLP0Oou)*2Vr1Hi^`tT>VNTZjwv4s{w!6wx3-k+t4;zm}w zhz`k6qvzU2R{97;pHSml_X_|OKyLbQ7ehv)?w5;1<=#|%x`j3ZAu9Bd!10oo@{V@; za1ZBD=cam#DYx$+S?p)2Y(c^wo*%044|Vm?cKWb{+IR<3ubV8IciY+1LmG=ykoE>| z`cRbKcxPSz!x_yZ{^ZU)jmchEV~1q=aGA+?$3wrHBwF_uckd^8EH5?fjo$QO2%GT^ zqW*_Dnn(S~oqIM@eGdFSUYc;%)F45TyMc8{&G2uWD^CvvEKg^R1C!~)Vcw#H zDu!<&7(H9=-dT&__H)Uk9hB)qRe_?NcZOZ0QTislJq|WuQ?yxqTW((gs#M+6C3)qY z(I59DQdq_ncgfO4Bw&O&*XVcCNB4|4dkQXtJ%24r*=PM|E>*gr$he9Alm6r5gzUon zlCG2V;ff5AF3E1!0JM%BXU~CEuxGqkeRFQ#8|u{UlO@KI&S|=cR|ok8fTT;t&xB;c zoZL8HG*R6+Pal38p|*Z5?x3d+Thxhk^)Y-?ME49idj@QR!3VH;l%3pB*D2j3%Fc^9 zY3d-{s^%Q{`7X6s=>+*%NXX z?BrXOtYgjjZAOdg6(-{^%4l61-d+l)uvbZ!Tl(ky zM;<&Dpc#0PH00wEky(tzb;`?Uo^ybYA_e7(#_RAM{y$2X0>ihE$&c z+!CrA@99JMIMgo#aTm^?^;HIYB5*qPP~3?d_%;xs)D0t)q-+09&z$#awm=oiVO>t7 zIg5ri@?Z)sqgOfNbz*Oe1C;VMVCgvG--2~4EPy5?fR%`tLQE)^3B$qFwE1Vn%-$A3 zXs%=d&POPOfeRx(sYU z1%4Y1`kU0sOF{yKyeu^b_i!6uApt>weoRIM1da1B8)pyzSdbS?5cdPWvIcN~(D$MT zhwo0s5@6mpK7ZUsL#T}gFaRgW%Qi6YonO(v*f!IkTSd7? zz=oP-Fs+r~jufO5k3ML}^2Hri9<0NX*&Tr&yg@nRAge2|o+zjz_I23j1)W$o3gx25 z0oqnn#saM;L0@2-D{XzDXlG>oc-|8cK_O*h1i`54c}Hj5&9HelYC}=Sy^J?#d|AmM z-d32$Qo!ABtUsvC@DAm#CgMP9K~Ud(#^HQd;e1)4e57G~3Zewp#Pd%?ikQTT!9 zM2O2tke?Hv7RQI252?TpBcKnZ;14U154l(mBRCIwL=T}P4`>Jvd`J%mm|jqEe0bsn zD;<0h!n#1`hVJDe{b9}-M z*BLZwCr9WenW#h8BqL*^i;Uwd^4Y(MQqtB8S(kNW47v$Z48V*0nJ|)0(^Mq^@IdN8 z9(mzxDw3^jjyj=kLZi@l9rbS0!o|G0Gf7gbrH^)#Yx<&G%N`}E(?Y_4RWuous6~j9 z_cgiA(Mm>wg)reUtZk26MQTbzGIi-X{1W^xeX*$PiHLv&1T-c0Kk1AAb3Os}f2S}0 zC!g>?=>)fQU5~8|^zqjuq~?Q-_}I8O`~*p(SYZVw1prtDb^_TT=&1?lkS7Wvun3B8 z5RxN9%2=NJmQ_XG-hgny=fY})z*7-nAz^imEDxTQd7ZL>!dt=q-e*Oe*7U#c{egd= zKpuw;P!!wW)6@Qp_0K-Ti;0k-2V>fgZmj-`0RDsw9gDn|NJtD|ijiyM9A`FtrWZ&4EnJHpQh zq>a%1WqW2^np#4#cmaPT43u{<$~&6S64_jFv0*hhRp(x7aS40Rj4%MGgC5(svq@I2Z!uV>4Z4VuzOSqu;P%t23 zq=pVLPpY-NgGtBU;?G;cknH#wXXl_v3ySlpjJdF5vi`>Qe+5s1jXC-VTKN^n9YURM zTHe_--+ZS)vPVVbboxlm*#*r(g7s(^os0yZoe$byM&tR#2jU}%CFr3dS4!7lwS5(G zLSAmypgwJ5KlbvVWx%|BMfS_fc)P~f3%aI#z4*jUp*KEQ+NsgO(+s}BZ2QW2Y-`=3 zeZ3+b^Q@&$Y?@ZIxBE|XA{?%P@$j^T&#X_SCa0}Wovs0KLSF-@jbZb(+hxm3T1Ov& zu7T$MqfTS5PP-)r#!?V3{$4_?=PX|sN_wAObgR!-0U(xL?|L4!Hl3AcTG zc_LDxPUcanYv+CirkVWeVi}ZtC8J;$=|k}^yNYKHO>&ZtWFvs1h_n##9kGb*ZyB#t z80rq}`zOB*-90)_j}vSwX`?hP18V`9MqkfPLe0((83xy|nxf<(eDYrQDwMvL^02JT z0>5*Z;^kdx4t?}ARkkL=nf(~Xy`C%7(l8VgoBrL^uyTy$O}+Eya4eY!Y(-V>WOjSm zd>fYEIA>N@A{bpKyP&%zq! zx)198bQv%0$MKXgJAm{r9K!#&#Lcs9uFl-3={wPtE2k7UvXC$}^sEbC{3Tx?u@`$2 zR=LJl6Gx=%=K19$ku7#UTUv;t;Gr(G>>il_3fa%J+-vJ96eRCI5M2~Bp|7 z%)b3dGod@u)HM#)dvI5(nMP*wtScqavap7qJWbe1_gUW|5lgJMwIY`dd>?i6VX_E( z-Urfyg1MqwAIhJgn+>nTR{{NwFZ9xu+aHoh40wUzyg66DFI%EubC<$` z3n{F9%?rGJ1v9=gq4{^QVbg0be!bM)sW$`n2z1TGo=*YP9BqpcyDpb@q)9r~e9|f$ zn(MY+dFN&U>9}9%4H;iB+;QE}>KScUXn8A1DbLb!u`5Z-_!2if9(`)4)u%o=mhT~o zB!6GtJV@aY;yDyIx{h_$H$;iL*6L)zH}lw>*_BNFqPvT(uP40aoL0N8T==a4w<{iI z0I%d+6p=yvnmAGT-*L~D{olpB0KJ{4tIfT0;NU94ub{@M5KLf0s&$P`Yzc#IwH%jNAY@u%2izLCX|DZA zikQfaSBrGvIgPe@{-J@i6)|xz;R(tsZ8tT;gnyFB>S$vOgC>9f%1xuy#S$Ri zBmkM4xWqzBt~8xulSWQq1M#?ETI5<`0-yp|QDxbt(!j#;CJ|=Lp-Z%b$VQpXIC#ls z+-WhEu@8VTfy>cC5RKFqW~b$#@7PFG%4i$v*IYhbrq%YP!KCNP9*H5=LO* zkokzTGzS-nH@ByqFuO{<%v8^sdI~q+aMff?Va-Xq2MyZ&l2{>C4v3{5MGFU>G+1=+ zjy4u+rkyHN!P-j%(1Xn31s%#J_evhfuP?{N3iv|{B--iYD(1?hNxz{(2gC<1$7~J} zhc`&SGsfv(RA(!izJ-eoNE}qDr8%!=>W$seM+L+f{pnOwNDALi3LlU>So%=pm5}~- zsos-vVc?1cHl^98{MxK~h0s$M6TfuzEIF&(v5!lVSs36a3WDVrbk7J8d=Cl+761lB zNW^;b51R8YIO{(qL8!6$d&wYfm^b`Da2PPWKysL!&=W#%jlTjA0`BKXrT8KULYW{o z2&8Klk(Hv5S?(K-K=!IcH%uhLlD6EgowB^Pyg;v1j{CqHWrLuQ2gKk4PCx=>fq#H3 z(WJQf%)))&&ZUHAiU%?=Tys>ZS`1f(3cepUVS3qC!Ap6{0UqM`rca%UzfgysRftX00z`%l` z&~GtLv&?q^{~a>kn#S?TeySo|k^gUp44nUc$dLZ2S#WkUb+!5_Uie?73u&1)ny8X^ z5dkS+EF*I*>ic<}^DU6jRRFPEjDCw#~Xy#xX=$= zXd2P#M>muW5mPYqqK#4kTtzV5Qx@-Hvxxjn)Y--4LDMyHBYZQ!2oZGnkRR;EiJuie z&uS&byL?em2msp;#>~dkl#Xby zxH36(6}=Gp3}!P1>OUZebk6dw%!Z04W?_!<2!^{BQNJG{MNvmd$-_y-SQ6gjlmIKg zQ5sYn`MlO}$WUwY&xU;^sP~cso`JMCtk8I8KA>83lk3Yrx(-JJE68r<@U;cPW!xP; z8@Y>dfb^i^eC>b(g!cggq*m1rCpvC$ju#4y>SkTZ1PtO4QY%9Qhfl&t3ywF@VZ(uiwkpdxlWQz4J+yXYFFiX zHW2NngA-Kp4r+^+f>Rwk*z}(U6dVnlpi+D;c;?h?ftWEDnfuCcYf?@dNMVKyhkU$s zCDUVqmf8RJBTs6y^3A{;MHuKFNa#xyu$XvuFnH`(kuc z$WiU!I1gXn!n8kTvOz?nHR&L_R0bVr=Y?DjbzoeT^jc9YZOY!ZREVUUHdTyZM?Dz07K9GY z3A=Mz1s{@6 zTX9yV6{|yQlUYeC)`-y|wWe?-5RD5 zqSf)Mha1)cnQqhy`qdfBI8kT_8DSi&_2fVp0g?H9;&%iW-we_0Z>k7p2!3v?Gsj?I zLxf8_1?G*skY&8`W+-n9GFg{&V_Su0)ZAHCca>&JE?px4nyFwjE=aq4F@_MaUZGYP z$y-qoraeH6Is9M@i!W0ITcHT=OgTula>PvS5QgTSw)!5@`i`>tp7Zh!5$BFkBSoc_ zT;7r-PfK#hl7iWmjIuE*SDYA%>K{}mh!NP=Y&_2k65R%4Ayv$vcxS`mjPNb1tmog? zCOQy+6mK(7Zeto8*FDND7caU{6O<6ELI~#D6Okh|I=ILp1NvEL@vfS3samZPO|Hly zHF^V-ctw?nDotyyi5k`AyC@@`{?dK6qwDU$-OjNiqsz(}o_*UeDPG^weYoROHV>bS zWYg9mYWxqX+!4UymP(CJZY|m22q!(hJ;eO$l1h_@k9$1R@hw(dRBA}&p*}IxAu)B2 ztV)aG{)DW|8agOZtn}EAI4V(xHqFkoT8V$L+ zwRA_Sob;ro2#Xnwm5Pi8%~`RB1eeN^lQfq~xr&g;gH!O z2y*)orN0`mKLnHXM(ATv{=nZi*yx5E-_<`ZN%QFbCvWtB7<~k!`b8eYA_Omd-3}CC z0w~^nA_v;vX=EMK{FwhD{uizkBNAmSAb@}n(Eo4Y3j6;YuKw4X@rt1;jwaUl{TX)z zIAYI8?UjjC>`S2-5~)54YKe48OCWTpAcGNG9@(`_6}fmN$i=Vny#6u;M(@R#{=oi9 z1q*G&W!Mbb{^( z`}nyhI2D9Fc}`$dLqq>|Un-AY^h47wpX6_vKm7)_vCni2+Pp15J@~o+H>6Y*1>?KO zDx|e{6$qL~v4CTI%@|e^+wr;*5xvh~&PE})u=z!$B$H0S9IXpo?f4Vf%~e!v6n4?q zOhG3$-zb%vNPC|Gn7p=2m{L}=l=e6}hHRCk^M~5NRpt;1%*AH?wunM(N0Dl?aH>*t zloz=(Wo)&5L*qLojp7}MLZ@3(6oSP3H7sBDwdE9k)n>fdmvzL%ocphn_@mAESLcYx zY5Xs(n~nTyPdX318FwySP2Q17+wl(Ph|FsvSxw%z@6Gt$oyELsrnVaVwIzVRFaK^+ zZI>sD93bG!+<`j}%?Tyu+qw7K-UCZmE}CYqFbh11&3(LYYRw)nJg0bjH7(76 z(yg**OF3$n#-lv$S0LzzTkotqAa6Qld2x6C8(Y3J=GlWc#Ss5=M^t6H&v-3YB!5wI z#!GziaUbnwCSZe`6P-<;ci(2U!vMh=MqPlub7(W`?(TyglT#tISXZ$B_re&Yb!Tvf zR_2whahz&inii|Lnps^cvnxuK*{xY(d&^>#jc0vt=NR>8?i7r3CW$g^!ZLkzj3T-! zr5tt|3q9O&A3Lkg*n;&*TareUUr9i!*w`aKyF!I@<%@)eZnu}~ts4Qa`VBSe@EYHL zL4vlPlOd!B)_WPaaZ11XIiKy|m;5b|EK9W4h^}5SM5IgBg z-riA&)v)~6#UtCpQ>GPO3)gQ+$g)#@cY5skE#p2beCjh}!HwTM5s=PI4)8Vx3PJT| z0MGR72P)-bC^Sg?4a^A`8oFIJ+5_#x$V3?d9i)dw0X~Qan+7%#4_*ZtB$t8+bc0p_ zHWCeX1=>g@!UejKZNvxaPaT8-LL~FV0wR#U;{xJKGGYYcOFPg8@?`iO17swt1O-G6 zjQ}!8OQ=){1OyCj02&AbWh@jKYlKj}Hx3i@@Bdv=47GBD$qfbs^zl3|M^bt zzy0TbRSS#$lnj%R8QVM9lQI9VLf`aHLtP8}@qNTlJQM^nc=|MZJM3w?QhikzcNuH9 zr|9r@j@FbpZNy1X{_9EZi%IDY_wHF;x4CKSKE=G84DU_XVUT!xT7!zm`hN+GhV#-; zL1Ntn$B+k~zJmL=XI}m5kEa0`29V)z9fhn8=J=Wvue|lKz3$Z8dYpV!5D^&ooBgD zi&8q_{CRBGF>_v7cqx0@lpZ5WBcq;MPIDPBIM>1SHlpk#!A97OP?=s?n6BltnE12P zUryuC5_zdaiMmyMjUv>9%>2TV-8i7*O)9}J(#zAhVVzbv2EG|Wu~5NI701$=3;FfX zQZkK24FkX}bKB<#izDWFSb3KNb-}a#aw(K{C!1_^kIggqEM;lD=iTu&P8kO`=u`RB zW%xJR3KNd=gY_CW7+|k7I|6P&PtKhz`8He2Rex1^UhiI*`fO3bdRxqu8;N%dp;Ut1 z+}77O`u`ZSD=%x$Lp* zG_1B-!Ds!xE5NTUO35FWUZTx{f4UdW_AT*dleHE+?#?SI^~_dPB$Kse`?|_;88GQP zeH{Wh?3Cja^OLn1TR(r4r?oD&$M@t4Ukb&^+OtllxM2`Gz(!EYMTnCypPx@k>KxTA ztW)SK>;UOP7Z!+m{c#{s0~Gc=OM0bTlxI|?RBH}C^c)?f{dqSoHpI4)9Q zy45<#9ZuaGT0`kEIqxUnP&31|tkVSkHlJTDuI4IZM@T z;?lyYKUUzJw$B+27;9rMr%>lnzIkSjzl)JgP=e>J*8d!Czij~zDbE*Jj^d7*hI!sz zb9hXA!;%3UvC}DSS<1ZB{k$^=Q=#wUpgf9cvL7)?M26AM4sb;i=}y)*RVxm1oI4}_ z1Oq4}Bbw;nN|{K84K0L~n``4T21UoC?EU0g83t~bJ)iE87H{!$ZO%OcxX=%MRHDq; zohYZ+`EaO82^ZQd9CnJ`?Z)J=e|Kv4l#V2W_nxke1TGRp_0A}H!kkCH=}?Ztux4qn z@+2l*;&HsZM9gLF7kjDHUw7AyP&p=)LLk1t8N<5frg;phApd0~5pkM;brwI)K9ro1 z3j3p%jGiT&{Zj5eIZ=nBo&$0!}?rM*a&7@Q+G6S&soTw3ue9 zNW9jT^pZ7CY#Jzw+~l0J2)PqFo@TU-W*zM}AKR67IoBgkl=``}rQRYTb6xx!kTB6Hq ziRCdx5^OqY=JP1Cycw1+D~oXJ3+M1wDuB8LK-I!Ir&YGpj?q5fD{X{4yMPG0z*BVs z@{6YL6OpgJ>H)Z6ORRl$F`{E41)*P1VgoOqsCPIbm?0_aqHoHKm@Oakc$F6g3o5Xo zKwjpNX#dWiX+-i88r}5OBUn1MmEjh^{c3iD zf0xeEY-C|T2ZPQRKmw{Ig=0*KQ0~OmBh5i;vi(DG7V@{@3LCO*v_y%q{ev|*LSCBp zDhliu@XF`v_CFZg;w&V)^U!mmDV}axVcqflKp>nukAZxhH^_tHd+K1m88d>D65m)! z+J|Mm=aU-rckcYxlQY_fn3}tmn!5(I`vLL^I>kqr`FoO#cgjSRU=DQw5%pXpD~xKU z&}y9`nBDo}{ffVD4-qkfjNt!N7(aeKtxcml>_=)7BNdLEJ{&O?-{~68yCyMv_ zL2B(teEj94A-yJ9AaT_8uyxet#9+d51l6=95L$6K6i6I#7)W zBotHwFDzqIS=2|$<>l@psRR^CRA;PO$-0p&*j3%v)I&}M6f-EVLg~fMu5K+z z;Q^MT6AZ7PVl$yFU)e>!u)2#(CqB4-dkN!CGXI+CfsYE8nh^z{O6`w35qC$Xk!7Tv zmYa79(^5;=skOnJewa}URau&z?!DkD(+>^zhu^0!%&>hUhwiJp52J;zC2NZk)xV~1w)M98!By*xALGj%)ez9s z9w7U}P9Xb*!8?t$F5Zo)4cKVK)V;P}Hi((=++edV$jwjbpSISN>&a-kd+PWR9`c`Y zOv^t+LaV5Pwhc+$w>tNNuzl--Uq2>3(tYKQ8d(0cWdFm#{b#!U;Tipl7lwb0U(pZD z(Dr9AL;J1CT#-=nQv6CV>jC=W?~@ZrwNUI}%A?iD{*qv``M*s2YeMao0J)BrgxXEP za@|kKH2~pq-8ZStOTxw4-z4_WaaWsv6WQOU-E9HChQCP*Zmtb_1q8#+YL=#_VmRgt zlDfLli{ya2Xt46l!tcJCADUUZ3Z~m^eqpb7x;`bYR$W1K>HAhUr<#WTW^LA-yn4u5 zv4hQ_glEX(o;#^FI%AmuLz|kK`hzWC@mjrUX115>n!(8{i=pz4?p0YkGyRh@N`XYT zHP|08Q(sM7%CfZ{jc3b;yQ#*<#`@!a@4}kl3@7OCdiFILd8@}(Yb3PQ{YA%7Vd8%^_#=fMuo9JN zy!BRgVP)gYiwVCSx7~L!6POFYPrG{LoW>t)<3p7OEGbj=7{< zczPfP1!;Nopx;Vq>UNXAX@8IP*`5$y&M{}mZ7gwnZVk`k^6_8wPPISa{B@IgFpCI* z&52`nP;PI-Wxf))0`G6GHo#qDr6&oSQsW%bk|8aE;LL7!rTBa`#oANK{`Y2cqm8bO zA;7fpUn@SnX!N56&C=K8o-4)l-F&8w{5RyUS@u6ywg#Rny-|BhA1wasOO5})P{s?P zb11Z3TRqoP+a(XyPC90Hn2I)|b+-r1B&Wl_UReBdf@<(|8k_n8)&mFeR6DE{FD|Qz zFJ_cT`J=p0O4{z(u+cESJ69!keY{rNPHzIvVji|Mh+%kJ>zuiX!@ zo&JbrisU-%I$QrC=Y+S+?mGWBukPB}Ee!Kbt(4Cg!fbq>gqMPr?h40pUytKM;l-}m zSEtnH)Na_r7WHh=-%Ze|Q}Oe9TSI-6YV6cL9ycYPWrwLyb-TkC_3&*B^rbJbrOw#F z+~V@K6^FAOe(Fb6$TTKxi2T$TL9M35X(1Q*owY$e9S6Y9LUq(tt9v)UU7v?3_#8o| zPSBDxzvZ>fVPexP=;M{BmJFfzWz4aQk+f!Fdjp+&_u+u^QZ`9lJ{=F)+;s}1{H#d~ z{Lc9$dlxTen$)&Lw(o2yeyKXq=E82G^i{B+%JUr)eg;ny6CKx&YL7pb$H&BP@a%$CfrN_;Riys>uv`{mOe}eH4{F zw|VvCsH~$ieOEU_mLpJaX{B+>PY7$-G^k&>5Syf(&^+frgFi#4n+@Tix;H<*H(peB0X?d<=Dx0Fzp zxg0_P4}>afSdXlb4*!Cfh7O0F zv46SwDRW*(OUhhT;&uLUaOGj!ZdeTrV`$D)d@qx12{PNGjcKltc*HgL{3~@6$30`Y zDJ#!=NilON2eXK`*IwmFe5%ml?-_bS?+hMM{HR&9YW-W~H-E5N>IVluehB*-U}pR^ zHEE{v-jLnO;NgRvklK3g?*Okdaj5=`V3^Wf;f!#_OHrC-t%^9((A)4-wkCMBD9 zW?vBcUAOC9eW)e(70Kv|ONfwRFeQD>%E?H5DE5oz^Gg6sYwHSo8=G!TuHNr5Z}N4I zg%!2$Qrd+@Jb*}|$Q);feOc937P7ufWtF{+=%7TUd~C?eScRtHN5VwcmR zm-fk`wKe-X!~#9+OR|h|LFBPh9SaZhPw6z(`IB8;-Qcj&DbcvoGD!s~-L)+u{z+EF z*q0hNT=~;m#~N~SYXg`kI=%j?=c4+x!8L%AZY3=*Ca?~c*(0gagb&D8gZXj@;qQ^A zH1mDl9`c1vZJs$3=mDL=Hx;lf)4Ivk=bR9D{W5}$5Tnq)EX6%_v@1BdddsVeyZ^m2 zSZ3i{T2(>2frE1)1l9ES_0_c0kT#WEZpVn$|ZNIAS#3|;C$jHfm*?_wn^SW z;SQ_Ii7<3b=Ht;>{@udANHmGJV+$jyewU4#;?g*#2SjU83vw~4MV=14!Enr9HmpRz zCzswJI!0)M>kJ~HjLXsHtd1$yLVQQTPY7U=B$omrInqLFy3|GC;Rby-F_HECcVR`3 zrHiK7w#(L*xS6re+sPMXhKkxhJ*_0ro`Dzp!!2gQi&J+$%w9iaHs{8f@Ic8gvi{M*<~ZSBf_^Z;dwRkrtaAW@;}HCvTDKKDQs^4x$`?L> z$rL+ywDD#lPW*`Y*oV(mgtDVg7=JatRJ@>+;F#9!7%c6YAIQ`shW~XZh)+xpz>jmH zY5Iu!Rl5At_Tt{Z5KDDsjLnm@+1i5&x_uHBiAZn!#m$I4?ERk5!Tp{+(fUxBTl z$uR|>#2|9|SqagtUzy2p2Z%`3Z+d--3nOMlNxvR$PBV+eOSj6+jALt+y)iep^|j9i zK~j=3))G(-q?r6AD~S_z$s6RywL5S4u^T3KSz?x~D2BCT2h#vl;Uyv^wTft$x)%Nz zk&Z|9ON>Luj0O=D`9~srh{qe}qJ%j{bxOf8-TCMoBz=2{b*x+L>U< zqUpyOzyHBz>)8(QA-=zpR+9GhmmB|P5Ry00LqSP1?}JHsphFIzI^&ZKDqqB>KH!rO zH(q_m51)56fWb;uG62$U12E10_Mk{Bfqdbq4*1l?gIx{KDAOfTt0SK_DW9})~(G3dMi(1q@hGvQM((UDxM0Y%zXgxBoeaecyC zoQ?h+pX^W{et+df{0T8t^|wyq72_oCoPlRIY5)v<**OH#vxF;tZ7Wo~A@EKBqTCaH ze(+!2s)C*e>i@XF|4+BF{9*tC5yD2wvl}cDr2Y5BRHY{r;FY;jiBG8|Hu3aSE?#YaHs5cP*>Cx>a67+-P@LSSOp`R
  • 6Pe6&FbVQcuGL1i{ z*rh)}E+X*bosrg}*T58`R+CkF_7@MkkIFQpT~b_nkp#oUEb;((EP6+auDyW4Fd~1m zbF*D?M@=ui7=vNt7d?fYr$Yhz2Y1$Rh?(U#vwyOq#Lc;DHQA->B6#CK<2>8UY&>21 zQ{*Dyym7MAJc_2nH(s2xA=1;38x-em60G=(gJ@7b$Sn2Kw z6&*y$MUa~k`%0+swem+N#aZ|RbNiC7yi}nOTjr`}UeBh=Zb=>s>~m8*u}h3MuM-e6K67 zf?SbB#Ol+z+t5_Veg@(5LaC^3@+E|S_YrE!LtbCY7 z*386N9UBc||JI&NwYiO-&2@{XqGTG7gt8RwJqmVAV?%j{QB5)gls7$1xqy*;UfSV2 zkv!|DC@w+K8Q5b*N!?vPc`{%><-WpyB_L#f(j&C2c0f^s?Sz&O46C`1)q{574QdjW zO&bc^N&YBo_u7bzWfz;t?$16ke;>>SnjG4~P!vQ(EMIn=b5;Afj8*Zw)If)?MFo_X zNh%3)!6iB7&N9651l9f4lX@sah5acSHJ@gp(&1vZmbTJ8GG{WXk@`iDaLU{!)5xAn zQxR0X2HiOGjF*(&bZPI#`i<3Jgyq+~TXk?)`w}eDjV2`Wke3rrb@`pmR~9POlUSt0QzmppF}}pAsmQGV5a}AEYPi+dQdIYyeimJK@@4WT zg7e;TPY+Xx8Z`5bqRPjIXn=+mM=L|(@sJnI_OHHU!whuS<3-*utnKXkCp9oKU z!LJgx#nBlQq>tiIvB_c|mi(T4iXgCHKQkC7;wenUm)YbH9@YcAFcXj!C5I4G{hL`d zfACfAyI&G3GLj*fjRvlo`7^ni@me;|#ONJ$eYV>{y$?TnFM=!EM5yQm8Jkob$6w?lOLwJ^ce#ko8s`95A1yS=qv%c%NP=7 zWY#&pQOO`vQI$HIIX*o(U!xn9;nKnzU@~#FIQd6=^cpUbNA~wq?7F`vewgEwZk%R% z5QsU@Cm}yVs?+L+!!W3W9wlhfR(Z$um`z$lpnwfhY?=WQ_tJQs1|e%$8{*$Z+04Ju z$78N#7pBHy(jAHSBDhetq+Hvjuy)D^PL3k;dF_7x6H1cw7vHF6&`o)mgw5QYZgwVj zuBS3w<}Qjw(KxOo+S_!Jv{Kmrg-RU85gPgV)0ObXXnD?dy; z>9dpMe{zJ47PKt0xl>&nBnz(qHR-eV%`=rfBdwKNQ z1P%cXq23?k-qGWN`Bwl#;wnAu1}B?&t-2wL5i!9(BfM0-#6db^<$dotRRJCemjXe;wrFlvrxwQnZ06P2F9a`b#9Kyr@rK{NhYi&!O2{eA z&NcQ427g*8m4g}LqBw6JLj|uLtseb$Bz_4Z{r;@E{_I*Fa_>26Dpo$&GuU%x zPG)W(5?_OFC}C6gL&jT5Q=+r4M-tDy`G=d#+396OmcU?7;HQKouaw@xzR|^jmUH2# z?V8IsfST-?*xBbI)2$@dmmcVWvBKgt(&*wU(;ABV?}|D!if|ieLV7#qAHe?dW9V;f zYXkR`o(L*aMSYAERG*$s?1p}QRcqc zq!Fy7gc}AXy>CQ`s!Hx)dlPb)YyvAaZ9GZbD6?qHV0*b(M>LN_VD>0H{6wa4flXdK zwbPsB<7d-JR5imU_6UQJ1FV%i@Xzsd;*!2}Esr+l*f|a_$B2o7z_>)#wCX-B3#?uQ zddk>Xi*_frLm#B*C@*2Q6)BrIikN>t*8VW;K`ERj`i*@4@(D&t$YpDMi|JmL4LVt^50J*M{@aqYB#B z!%SEa$d1Yy$vb4g$d=i8zCOT2i7~E0x3cwv9D?SryVr-R_pA$33&SWBZWG0`zz546 z?QHfWL4l`fXNewZ3En`-N`M5dFRbS$|XO{1O8q@MZJDQhLi zlnw6-={+Y~8H|oP0IJ81lUItBzkAwkTn(`b`)iSg|5itTAfq(`e6uKw^!Io-b&*#H zNgSZQo92hsk%%C*mz{nl`SXFf^21a(LV~kv@ZTS|_PK*iWdz5k$m{FzcC1z%VqB+@ zcuxP!v-;(&Z^ost?eRAw8fvfS?;)NMe1W%+z1pfT5?YK-BMXs&>cg8>NOI)3BnKE< z2besE(Q?;OO7u<^N;QpUlR1Tb;$SmD+~ISKxm+R=17XV{_ysFOy?_AfxI0d)2McX4 z{IBuS>aeYI{vWDjRVz!t&Eld-CW=!=jx~D9LzXAb_)a8|3Z@l;^<0uoDyJ2t+h(sU z#3p;-M_SvdRm`?hWK?o<7JE^-M)HcB6TmzPB4M%@afyfzZS>>G%i~J2x zmD09B`|+FY@SdK9=RPtQ&`-4f@SDD0`}wpdT!iFzyI2L|@-e!evyQ$X;$SKl5n1w{l=t z8DDAr292{{pYcPK617vUQmPo+p}r=$5F611SMliA2+psHds{_>{yM{(d`LLrv8+{E zy$Hm>b4H{OrQuB}B)N#3#-%K5+67%Zylg%>jebl0ym!Tw&^f2~5!9dUGo#QlF?Yxf zu1YUILKyVs{NBwxMBa{e0$GYQYT(1aygkV78*Ii1ovMqh+!tvh;vwrb->Aed3VSM*2OaWuS zc&4_}kGcJrlznA^{C9sQk#OUF?60PHM$9X6?w2uWYWVE~m$$D= z=!Z!J6wF!P;wHQigpIU2HRvo}(|QBI0CQuTpL7Xt{9r-0r$YR1GRqWJcdSE%fAI5V z_K<08>Z6~qU_o~K>$b!z=HOX|s|DHt2ErRNB)P^In?_7c_86NQ_EBaqRYacHcY4!F zW;MeOJKf(cPCu~2;s-UA!+>muX>=^XEa@(Bb6M0fmS2h1Bip!p5wd`OJO?!?ti6(f z86n=nO>r&AN#e)xd-z6CgSDk{#AcK5IO(_0KQRgeBS69~0KESlg0z|1ueC?Z3J?LmGGFtA1?LMTFLZHjCpFG!etjUkI& zYEQASKD)-EqM$-_Z{}AE`<>j9^y}K9Ga7VPMOTfreahfPamft^3uh(eJM_5kS7?p> z?(kd#Ie~FHP3hO-#eFsyUC5*l7t0@ZNH-#&`nxjImFB{Y&Fv${#*f7lFL0+v?BKRE z>kHVEyd{hF5VC3RuNjsY&#NoExNmhRWo}6`DgO0x??$rAZ+Uxs1VH z304QSmV))61nu&>Baopn_zd+4fz)Cer?9I2D3 zkN&Eo{up&pm1)wiM>yA^l6%yYQZ#$?FxTs&giwJWL?Yx~$;rpgaR8Z3A!$dtkLoOk z!`{Y!&aZ$bX&(wQ%HIo$Y={2$EJH}i5@?H%g-|={K?<%A*;z17XQ0nHPMdtcaA63~ z>i*$4OB}hn_c*$xw`t=W7GAn>A^^}Q!WOqd*sRISAJrfUw$I)(&l^%PtyQMk;eh!k zGd1+8jAsXe@!r%LK0)B;$gB|79H8o8$F$)7BYJasG@Q}qzbL%zLC7S+XZr&xxEmpz zw>JcSfE2(n*bkZVb0MNHp3{4TL_4KIAF$5gKgaqwTNwSlrpy+Tk>cxn1-MFcXC*$H zMvu_5Y=m*lP)?;?nu0P`+{~{qKrAu9B<8i(^Ni<_j2To%3;a=i-Q={5qKm^BUY2K%hKl4R;w4{* zO{%~4#4~(}=15e=>=_OHh-RjG5M&ccF%E<#?Nq_FBt~j{A#nKJ#pp#o=R?6VMFP5d9&NzRAh5f%iDN;0=+ z#C1Wys=XJ4g>44*SxSjM^jF0ja^4rc$6v%k;bef1b}9c4v2nT}oq_jrc;{CHzvNlL zL&-Fo&1Vn$(2%^Mv?o<8Kk+Q5!I?pSPLcJ5fIKm!%t6pCfiQ&HoyIk0oaz;_{VTs8 zW`Ery1GFE{A%Iqr#r}-wg)?D}jEFwvmyqAo)Mg<1SK$LJzu-xM`+*PP_~ljomEU;B zs}k!DIyV=#*j{5jq1#lU&kC;bp6#alU2Z(xiY@duy@JsqPhi>*rA&tVh#a!K_H_@`Drf<>K(hRe44y(vEZ+BED zU8T2XkRDrxfX3suqc{N$``5ni(}jhw8%8WwZhhU9?S}*zk~W$^az}<>k`D zU^Q4g>;>jUH98ZcOz5S_4J=tY5(1-==+N&-3|~t)tTP!+jAEf>Bg)A*r_$={gage6 zIYKh=#Li9mqo8dfBBnbK z|FPk<(ACAJk8Yx}h?Yi&GQlomW0UkE@R>54sTqD|O>htHLy(%#TCEl)Va<`29~sx; zRtSt4yrs70RUQV*Ai;!3$c25P$fh&d<$(Pgabj%ED>e+yK;pnyP+xS7jSh9ewWKT| zEDVpe*TCS&(4EW77B)k&dRcUrF|Z_m;{(EPeHN{l7bCaEJ%sY_pf z%Ng(39UV%HvpRT|vg%%TLPd!DjUEF~a?#{22d-wrd#~s-KeUT5DL+9YM0TgE3r#nz z@M(<>eM4%(STKz2kQxSeBQ;?y5JWy_MTfrNT2hqoS9mB5gT;`V*u8qk{rVRWQ zeHzBrB_-65WO*N#@w%M+%&3-!Mj)E;-;xr1Q--cGVQSVKIr&y)WX6=ir>0MN@b(KQNkaW)3bYjVLgnIZOmwIN?y9`p#RAr|$S^n#>5CZX zm^$)VVi;VDbY^r9;xEk*r!+}W-qA7!B zcVLX^3QAlFqavZHu@+FQ|5BUO_d-)+F8Ekqk86D?>KhwuO3w^~Bun~e2b&@@8%!Bu z)ppd3*M;SI*zlz29f?@qeof_u@0&6_<8bHP+S;$%tTeU4!8#S_WQV-S60iW;bP1#U zp4&shpRnW7Yy0xTAIP)(oMfcDP29!sgVs#2r=M2OQju!o=A=QudL;&DT{uzftTVOBnTUR94 zYZdqL3^s*irjBaqR`j(GHl=2!PH5@o_vst|{Z+EWhG&Dz9hdH=vcO9ib&AWKm+q## zAW0Yn1>X~R~rOjJ(8~ErG@eWA{(Bf+KzJ& zbLpchr4N%lKZMl@lCH?5cln7NVUz`}Bal^3SpEoNrm|0c@V3HjC=!2Et4k@cGU7xa zvO{Zl4~V2ICihWPHetq~pWoL!c7b!vdOl?Myi@W@nm@Pd?R_hewsVhyt>1!I^Tk+pmz z!9psRE^K&tnmZcMJ$XR}qJ0;$lD>QN>t%{Q>fm0b$FGD@we**o=CzccIJ;?0t{7qM zDImR>m*()EH`1np92=)EjmZ@S>^V6VI;Ss#$yFV+dt&8^7D9)KY8|5v1_fS_H5VHb zmXJ%t2c}S3h^w}a!MGNGfiQaG3Pp5$2ug!zDrRp&{Ual^%*K4`@AW#iPM6A-+zES9 zu2)zgwh!(f*P{E^D{mm+mG>1q>^YXdc-^qM;ggUpiC#19DpzNBHGP|EmJ^=8Ths@p zf5HxVb=Wq8;_#n;Jv*X_EaDSwQ7QFNsyp`PwHrg06w76bY{*OrKHpi!LF2OrZtc1? zIHyXBCsE5p{7bM6^r#|XTYNU&vUhc`Y4H645pEwkD^wk$QoUG0tBJ}@^b7w$?ssD3 zQbPfTqUm2n*UEaOEB_Gf5FMMJJ9=Uk*)}r?>sl%%y(aQg)TxYdu5u2dHrUVvRn&6@ zY|p^~dVa}k2ikC#=VAVNY1v(R08%)+N7f`D5U7-`A+UkBkc0R>$MlCO+5D1ljG@|4 zqu4%KXdpRti*bq(+p$7xf#nlLt>lH)x%0hqJKady)VLtJ$lM8Y(Z#+~M9~q=i570L z6)%z$dK0a-B3IlOVr$Ptrq6fq(e4Q~=WL zHY~y{&f5bH%%4X4^$Ss$GU*RJ@hy2yIKE(#+ZznmZ9lg~eMjG3A9;c$X(Klsyy*4{ z$2{qL7dga!^{AcK4|L)WJ4D%LVqmf z7d#1yr$ldgO~}q1b;DEV`N^><>P0-=&EMK&XS0kTGjFk9W@f)A#HW80{*-K^e69bj z0HY$Iqf;lg;Ue18d0LY8#{bXzYRjz2R5Pr}VJo${x!K){m~(W5e0*SAq$E2B!StVO zFRmS9KGz#@iSZ*duP7eNI=#;N0bLot~(zs**#I=C!`c5-upvE@7u$-zT$EZgsg9j`f?8+ zkgS-v96AB300DDJu*}HqgWzCDQFuBjm$BC%4;4%t*d(ASy#)HrUU%L1QoQ;)S?U%6 zeut-ET#Jp#8h|AMzPSv#?;e%8ESOe7&Q9f;cmLLPCb;~gAGmY>8@2X4c=2UNsrnjn zn8sPVWG7K1n- z!qym%sN|T`unJ3>*Albp%I9FM;t;Hvcho7)Pyi*N0DJS3$uzD<)QOBLIVNPv`;_R| zk|PH|5>6=%wkhM;$sm;Cld$>8y7}8S5QSaJcuul8A*d0xxZS9D%_&84jc&?KCDVdN zod6UC2H`>^8+21nDis{b6{y9IKqj^+hrG0m?8zDgph_@^evdAuSv~WpsA*kE z+lHnZoxVDzl4DxKswZh4PK?%&hBG7u+9}1S1%#ZPOoUo&E=G%^VI5n^v87QCma%Hg zU$mxa{+Xh^PbXieu6!T^N%e#7LER2WM#5VrP@hR z(}oi7vJ$Ugm8-2Tu(w(zC21ZpY+}enG_R4fE>e`1zuA%v^&H1i& zS|JzH4E=tE$$?a9gpab!F2gt>QOgP%9CrH8jOOCact{1w@~H?b?~Y zXhQRwMB{HnR_O^ul#_f<40<2{S!VR zL@Aa+DINiX;s({VjVjeni*DQUZ|D_$b9`U=Z`5op*B! z$R;OQpDS6PJy{=}z8g=|s=9ifw{+fnK*?)D$&0$`R%3I%vQb_8qUg3J|28oHR$1#L zxD9mI0wT^%ez&3_;Yt=nr6-B4Tr{jyJ1V+$5Ib=ZJDI4PKXOVTvPm7Cz%b6_ zx_rv86i40SoEA`w0*FaWhAnv>3{uf8)@uQYPy^SLR8Wd1>k_dvPiS>sfCSNtSd&#T$N0$d19(l%%}i@Jpawl=isbTBhWm-(J96&(aK|w zQ=#KbHYWfzfCQ1z}8M5S#?BrA;(1b}!>Vru6hKyBceiN^T)eo}d7GjW%Yq4@q zX9nkR6;C6RhK1grmwayCLX;d5Hy-0B0$dvYpf-a4{>mDnqr?=l4|5{!EPq;|;v>5Z{q zBFXJj?y1?k55`u+h~R4M8z9)XgVahA-Nh5mB~;O@2KLy@KHO*svx?BI>KnMzD?%ek zR%cFcc3^XlaBg68d0=yCU~^&OwK~nSF3qzo&9myKr}j+5+1R4<^{dF?kiMk1Z$uR{ zmVkZ(vXsTWRjoS|4&#;>-Ayd%`L483)l;`kQwc1&rC+U1(dGdovMmCTg356=1&GLFjEr8I05z(xknosrmtaabF72$XAu0@4UBfvt z?6LU1+_o#1VZ^eFlzHvUj5wyMfly%%qjyqJf}KWzBbZ;mVP=vm^PP@wl$L1kTN}hn zEcTRPT$-&@V~ly(xH*r6*sCPfg1vJ=At6@jZco3iY)fqTnz5Qb-61O-^#loLa>h)_;EHA#uT_&SZc9+iQv` zku=l%517USZDxW~0pZD2;#(nP3zG60>VH-`Amql`*I6@_A*4I3iRFdOg8!43K;*pB zdM-^PvTjrBl8rKEI@u67)xI4zu@MW~(GYH7dK;GO>MaWp1}jUY4TQu;(=5yTK<`ky z!nK+PssEhDo~v@~?}@WdL!c+y74k@$#koTwoikg?7tE|6{{#uC*g98clG;7Tf5=aK zJJ_S+7VzU9JjM=fH!P=>)?EJcdUSs>&GJ=&0~?~xyvdb$qjo>@AIPZZMSH5@^704> z_C$N)DIlG9s4B4s-QeG}Cgij{2P=Au61P z^uBT9?^80FkH2RGe+f-1!WGqD_2}Ol-Zly6A3)`{dInJY*N7kSkZ3Umu|f*w#;>~1 zk{;?5<18*L^8T3_Y{J)6Aax`I(?tegV$P{Wg^=Fw>)$6GgXr!(#AzU7>E)~g5(}P9^*)-yO*{!0?s+VN));>Ccd+z_>@u!-lfB*z37Xq>z+yNJ8ILiJjpaQHU_3njB@YqoNv6^kqnqy%hD=i z>zIr0Gc8|`rlv{H4l2CcIN{swZXso?fYG`2v%d_6`6w_nKRMD-2lBs24t${ra!!YG z$tN0T%qVM}5bw*k{Oe1%@<)xM5erwo@ppGPvV`Vl5X=MMnvp2S0@!x)tLCQ-b?Bed zgO<5vY z?~wDzcY3Z4jh|L4rocKSSZIbYjHl*4=RP@ihyu6tzTKOl+VpL!D1I@edBDdBNIvD6r8%v09ciMmucnbIx{h*F z`V}IU*APxo@5@xOzKdpR;Y>x*w@T~Jib0XY+c5Bx< zi8)B)Ji9=OE>zpoazy{j(WB*0BIVCPLJ|G0xgt? zC#7tLzaM0{L$Q0eTcL1h>6t%bS{ar=Yh;@fEB1f!YG;-&VPtI$s4cj_(&1nD$9Kg@ zn%9h7YEoDG52lwhN81>tHxAD>qK!ujMu&gj7}j6TnqwP&`lWOz7LNZ zKjvn?gR&7qIYrle?meN0i|OiYLc z1+k39p5*+=qBL)k6=mkH;sjTqJ*A#POi|@UOc9CJDw2sIv0M<^D%6G{x+~ad70y5y zzarEQkqGf=v{;W~*E4UtGn+`pyFhY}OAG%*&mHey2P3K}^}nHPFZ zym9Yrz;*`BwQKO5@%0t_C(F~_mxs$>0a62Ip+$-;!qtNeL-PPKBl)^XrOU!5SX0NM zR3OYV5*xioJS;zN2SL?o`NkPE>An(*i7%Z{e>s60kPgnp>yXWN6#sblWn^)84io=L zMp9vFBK2F%YI-)AS+{8w&jossKQEFNH<4t&G7FU30OSzqEvU|P$cJtQP4mW&_)-Ry zBR~ax^&&-2UQeQm9U1uo3aG|$F2OX>w5bAD#Dlrws0E|>1SQXEewnJQXEJIi3#iKz zcW}yN_89t&B1HpEAF@&0Tz*m9cv{?O4ZB+D2qObQ;Y-(UH{btYceY5dE|gw3=0xtT zic7GLINQzQ)yq3zuC7eX+;}h!ITcuR*(H(F#@ZR{yY^$aZb};IP4!MO9X_D}>-$Qt zQxt$s#s!IG&P4e-I)gG-FHcEW(X(Ut@pfXh_vJn@s>jqoB;WRaFSb$0Yd*`lPbua} zz?_~b79fd2Y}>5VkjBbbZvIupM2E6bwVrF`m+P+e((BXgYaizV{@QO^mA8M1iq+FL zf*I@cjAH~^da88DB-hGq9mBh)ORrHC>hlt5?j;4KzYi@KvIIu7{oIxVuSl9r3UvEa zUfEP$RW3X>|9)(qcx>L_?PGiQWII24SZr`DS{cY&oyc3CP$y9EZ%E*oc>H1ii0@u+ z#J8@(AT%jlP;asJnz zc-oN?hpd&8N&ZUil4g`qlk6H9M;}TVYEhH28X1}&v!b$%qh0nXo;T!P=)@hD19Q}f4~9LPas0aS$^S4n zD9S@fchUeQ?XtJOxDAnMU7-yfY7tr`#0V*qw&I@ z0;NEL`}p4J{FxR3SitS)00W=y^yHNa)KWUYeLs+JfOb>4-ycI^yRTk_3!LiK41^E7g_64QWLANx*4^x*4tet;zwzQ8#zY`3Xk~;E83o*PiOF=Z z5FcMos?fNvowOA>RQ`#Ps=fg>Ne;cy0GW#4Igi;1z|SXa6jhr4OQaDh(NT}+Me2J=z1$ALEj_7k_IwfF`SN0$u0 z=T%*^giTvrV;};2D7t#4g_dbIqM~nFmx|P4&rK;f+m<<-9MTT;J9#o;w;2fYS=BBX za3?uXQP8Q4?e%p;0r{#={{ zW8AYVl-7>!KTh9&1I#&M9JiXdyRvn;nfbzQoW;3c7-?%gH&c_nDM|!lw6) zg(v?=O{NBv=nk;%l`^6^02iFM8)|&}XC#Z%q)t!B*7})A25ZI9?RX6lKJlY5@I#61 z$fTnv_RCyybV1GhlDTBy#mp6}9k@aHJi~795hxRgPl%XnVcst*$KEx07MhkJyMueE z;*rvhqHmu2b0z%){MC)-i?Idx^o+qHm@!z)_SUq>hyl(zeM!tSqF=e+mKwMt@*A?d;C5ZR;Gl~k$+ z+Q{~;3wOV)%=L=Yj}&V?;K)jp&@xeDdn*lzV9cs2Ml&h#j_Rp<;hF2Zo%hWz0COg-CHO9@z_Ukg&}A^Slq&N`8jxFS>&X;-_(X>} z<8L={v~QXFLACd^o_e%lnOlo}se=GjyE zm+;PG4-*20=Z`v<*HO|-fGsXf5fuO@c&^_BQ?xSiY)2>k_91VaR)kGgIq ztW|R1{Epgi*8*b)Upm;}>!;p6sz=n^K!a$;g{1&knGH4p5;)}Y=hTzdGdhZ?`@JXL z3Kh(>G8HqO+}Z-qM{#~qom}QZPe(wmYo~&sIf*^5v*+{3$)5yz5o%_dmaBJyxDl=` z6slLL@yt7qfvA7IG2zSj&GGA{NS`5}rjqX9YQlosCY18;$a%-uxaN&bPGi}`3dE@& z0hDwVwH?4Blr;v)U%OL)7?Kzm$-@hL?DQtc3vT&5sCs^glc2jsc- zJ-`Lvs^@QhA}{*v#|hOyH^~kK4NE=^bE_E|N=2>?pT1`LvjS|49iRW1pUN`;C z0Dwfv5PG=`Y>v&M2cMiC5J1hUc+ESZZTX1R;Vf7Uvn_orAYp4P}RJKjfidH zxYJxvIlP9Ih;7=q)9g^4u6=IUb&9y}w$QOlP@VF9b`_50h}_@dA$HD{L+R!F2zhrn zPz|?2YTcd@!X0xIaqkH`Q;`Hn*AaZqzWAcH>x6w35Zk86JRB^j?g2SqA2wI5JAO3PP2FQl`n#zN&vYpgGcj!n>6%KN z=~1)e=%TmyiuY*rF+(0*mlYs)9y2a0y!TOUSFh1fe-?8lGrZHM;xa$NLtd&&&Sj|j zvK%}j8FG5Jimtj1?^|(6ruxD7`vEoS4Ka_-#|j`gi+Pb5egr9Kj^M7Mc((%R-;{$N zsPyf1hbgE=?G(`kp&TbIQ?~0 z##M^AKKi~0Pnv3U!nAn8v{;~+K9tI46d+e<(X;%cDsjyzU;jv+`mis; zfi~CmJwXC@PmZ1W=2qGwE8Iy?J=+dI_d`510`iOO`C!+JOQ~gqp9re!ngUW!!;7hm zdlYdicQd+cjd-05n4wJf=GU^e&(q121lwx>gLaIjC#c8p_n<4S~h^cKL$&Q zg5*}qjB{9NLcLE~%VZovYp=?UgENZe<^8nhe+a7EawVNNQu^+y4l$a~Z%tTkClo0coeYG${Ef9^`1x_`q&vlnzp!~=$+nq?ozyxnK$QdcFg_?ulwe$7wpx>*6i7_~RgbX$&lE0IudI*c|T~mg~#R5sw<2@9x2E8G#JRwFL0i6OD z2eHsW(p}`N_3>D;{M=u!z?6V@-c%21(Y0jw=IS0YX%N}fxd*yrFdyJR5 zCXIE5<_2`xG?`XVYa_a>gKVCx)ap-F2l;NHyI-tkeq3$-Cg?*x%(vgJzU+V(4m*4W z>%~|NqXc;6qd`$}{wSMVCmJXjm*O8mdVd_cKfyDN!Vw;d!f}XDWg~swp&~#~48j)- z6`_HJD@0_0QSVn;gd3RUVfpeKiefe@KC~w&K@Kk37|D>M5qg+q!-&BJGuq@FitGk1 z+QKgc@$CQjI;Y^w;%42)wr$&XCYX3)+qRuI=ETOtw(W^E$s60Yak6Wls(osos_$-H z^!l%=wJy3>_tU?}F#WGWh_1Wf^NL`?s6M31a){F3PXvi|7MeqIG1yjAnf5&+JP$^> zBcia3bzvhc<~}eXc&wXZrLo#T%y%TsF$1vXcfY#S0Q{x9Em6WpF+rwKs4gPBoBCNm zZJ7DHhvg{oM{muvFxTm8%-+nK(AJgU%+saMJ&?g&ZGu8>;g6hpdQ3k65sIfwRht?C z0Y*|Jra>ACbvR}#T5gP`Kl0ZKo)}6$XAb>ex;2V90B@pIADK+B7A1Zo<(VWo!&M`I9!7^l?S1J>)EDu~qxlq@q*iB#u{qt= zcUB;Cz_`YE{X65WlYi#BU_h^LYJk}1?=9M|$<~R`cY}R{mzn)Lj?_)p^!wty1LIY? zj}xR9#n+(sRwZ)qP{|*mR70U=f3dhoXq!kGv&D`6IwIiS@{EVQBOaKw{`q5zPB$SW zsrJI-TkOkULn|DA8g*r2In1Q1bK~$&m20Om#Yag8Qdff$8>sPt#jWZmbbRae<8}vp zjEemwk8Wp1IcswF=;H*gzKGUUHhDVfEUDA<+Z%wh-qig#)%KOXTsG4zd5D(y=yH}Z zH8-`xG%f~>(KV8iu|UT;)K59BX`Ck2Q}qGqHQ0Gfa4a4w%ZI0a82Mjw54#qPM0~z9 zmzUCyOiF6rpT#7R$v^215~Yialqn;1$;(w`$$&C+oQcxaMb0@C&LId%wLfDK(ok>R z%714?$7_&2uqoUBrqCLnxu;Y}b&~Ah>4lxki=dp4mFOrjKIOUYw8ARl$mGq!n1ZSBo3KZ=&X$!gV%QqyqKWBo{uS6NMH4! zZDgZ&`Ub}13u@GaklCqg_=Y{sz-_B`@aC7tmj_{Ht#1{k6awH!NGZKF|d)Gurnap3o4f6qxJMgT%Ab4U*|LW<`o!mc6wTy%?%(THzK0n{?Dn2+;z4wxJ*vpN zxxFmddv7&5^Bt0Q>Do2nlx5qJSV9RXkops53FslRg1CsN_!I0d>Gmsj8tI{f zV-grz@G}jdu7`?cBWwAOwm`Ww94`kY|0yhzj~!v*Cu!~7AV*eu_uTmIoH}MackBcM zG57MUXT#!%$Ey4dS!HyVou`1}jFgm}{ph(Mzg6i4I=_YBlFf&9HFctjGI#!WLD4IwRJ@ou4 zuw>Ri1?X8Y(A6)IdXi%Xa5u~R6~yF`AazcqWhlNO5kXwbWAKB3{5{lvQo;TbN*geI ztfCuK$J5}=zWG-usEV4byXGM+YM z7<7h)4{R3h7Nq16bR~A zAqVG%hyu$>uq|=Hn5%}rc$Qb;AHhR9JGc2*Xo5lgIP*t9FxUcXpp`g5qg+Kuku5tQ z%{uaPu>Y|Hg;6WzKx(&(^y3^efpgmC?;xsb1*I}5JwtX3i7?_>>VhvS=QqPKY(et- z_M;gp4T0C(2KjhyqY<`&Lf6y=by{ri;o#Yn|4$BkV~;KDMjlGSg)L7M^bdpSxf*v9f<(`oJ@h37!dE$gWJrbbgc)QCc%uE$b?7uZb($ zrl~7y52?kE%U$j-(%#^jpBc1*iB*P*lG(q%K>rJYOGvqbKco%@|Emb-0Y5UWUHwzxCZ9!>{?TT zO>(J9H&zl|2FAuDQBjm`{4;3a^smEcg2jw7+`%w0F&#XmBqVP9dksEsZeDtlimcG! zkOQ6-6Q5pvwyu1b4T#>ZcEv%s_Jkn<)aAd$X55)-4L)vza>rm1v)O!AhbfbW23`5Z z>`@^6qIfC|9jv{qd5P?71&m_>_qgM>l0P&2l43Gdk2qEsaAcCVvop9;1b$^rtZ<@4 z$F`MmuCv>hqIQt@{@xO0->;8f+vOr2KG*w*LzUu>6dO>SGDcr1qqH?Ra=^T(|4d(? z8aYT0A80ZBD}-(!t{|0$gPuLWGl%=>+1NcC;CdSDCdg|>rnM|6CjXewp`NvwxwRT2aO&1CPFAgkl&YP#LW?~o%&q)v}nEhAQQ z`1nNAr)d7H=}q?%L0Q86d+%(>`jFitJ!eNTJmhdF%;7`a_-3T(GNzv`J%pmXTpT{v zfy-B}tM8FaU!G|ThptEljV|OXwX4ljs0gFs$-iN(>?U8avH_{LMo0Vqet9WG4!H@U zqmrO?agu>rpk(WA_SpxBv6F*k!YX_w&8kl5kK@h}M&6 z72sH{B*Q-LMX58ITe00Z$*yx0W@_=svJJGlrezz3VAbgf2dnPEF=c|1+rTH5SgjI|H8iLgRK*X~G~ zcu~v@tC*7TL6SZm_9C+Fdw~e|(wssM9_!$WMk_k~3u&9^7>9Y&=YnuV#?4hL0Pb&! zwp5Vv(th3kg1fvwBB8d!LJjr@ZMDi#|CwOpzVn7m?5;pn5}53F$4mKp$_yr`;+ZNr z*}kX{!l%_uiJWMeMJ53W^R|Mkm0KlUg_Ef#X4X}Dj7Cd>{Vuh1=`weI_U8#Mm#eDY znQVF#>5S>}P=>C&kSf{*{XW}xpVG&an$zPX!7pWVR~|kBO4onws*NkbW4@1sO3Een zKOoM@U`%`@pQu}*@9#i9J ztNe+IzATkc(TL&L7t7`T+sJ)-0f4yiV725@)!DutLfbVI>sFQD!U7$>&J(Ui`^2>; z8NrE5jUje@>n#O#2s7^_J}pnv$U2yC1iRLOPxDyiZo2NM+|EWPI*f`;<(Ryk?9x6d zVC3>-T{2lwN~9o$l?nax7%uFx2eH+b`H`VSKOT{ARz& z3Jc4J2|*2bpyAsE$hQ$ZjO>5#n>VbAfiNKGr>WHo)%(vj)nu z0*%Ud`uIzEdb;R(Y(`?&Wzh46@MJ?#6BqbaeCK2U^q;j`!@@fVhw?Y~Kiy5pVe3gOyg5KWw}v ztN--bz!7*|BMzbMT~ivlGN%eF2k%1Ko@`l#OYe_kX`w8rl{V>99%tBFZ^LGMu&N9szq;C&IuP;=YNpc zpemI{3h|yzGJV{U!Hvi()%Nl=>xQ^4Bn$tE*86S#{M-x--9mZKSmmI2M^c2|9=U>z zLlvw>$)u9!b#s-QzA*6#*_N-!Z0JnL`4xjko$yb^`7qG7EQX17c>IepIC++17-#J7 zOIISbC)GpnZ)Nu~Ic5$c!V^%=+G*3|^pca3No#V&>*0wbtKxKO&zC!r0y97lH`E|twn2bE|99NL=q%`KY>>nQIQ+TMLv9}} zj$kKsL#PQBW|~2S8aQ-Ym_{TWynYzW^lGzoJG1m^Hx_X<78|^QCbfq4Du{(KL*Gld zX-C|nVYBQVOrHKsSM3T%K6)P3Az2>qI;V^`4%>y&x*Xm{wUxKZ^9{^5!ey-n2WY2^ zDJSkU2AegyRcvxld|(eKS(^N}RXNxrJhHL%sz08}LXfOablW)wl7a$1kDr7CD)T{8 z(t@)CDy>2%PtGNyaq65bkM56>h;_7>#o#=;&^wJ9mq_LzBFJJ2u3$l^Kz3|LTTo`5 zz6Xh}h6oMpn^&^Up)O@N(pNEC?KE5Nz7e}_c+WL5Aq0$@Im&x(wvIC|FepD#uKF|3 zE4?9bglki95p&fl)eG-wZ~&xlMZ`k$xQ#Dq3kY7xeTK4(cx()jS1xVyvzTo+2gLgT zdyWx&#K#vsE@OXxJNIMm0JabI^`eM3>Xu>4mX_GpPuGWAFPD$Vq~m>KFVrmqEM(_; z7cJjmGJjPxZ+#u-(zm;C;##R$sC4(9i-2vk;GpqQS^yV1~?2sEnG?jVJ?KUN8#p z;C;9x{)S>i_V4I@j3_svZI1Bv!*-t7o3U#$_aB`1gPObd%8~v}lAoT4A3qR3Ok+P( zFkc*YM)uk;6}SBbLZxmfh(>xrbj3v2ZK#buq^qVQIpOMWNz@I7=#n%Uby{b_kqwxA zpYJlpj@Un^pb8ek{=1&LJVuL-h6Mqs!T3*>2LDez_w)a&=UzPUH84LfEpcrGTClhy za~7TVCEcYl_-U=GI7n8UDo94_50>5LjV0$B1#c`RGjNM}M0B)id`Kb2)oIM&Weci* z+B(v3Dy0q*5ASs0uB0;VI6em%xZYoOZ@qn6HfIHV+C0RADC`ge9Dg$6P|2FgRJpFO zT*QzD!&HZQEgG+m{pk3E%}F&No*<<;#)2KM11X^b1Kl{C2N*NwRIfwl?HMn3=`hxi zOQm?ejpk~Exq9c8Ncaz|d z!!alDM#R4Nx#W1-8p|WNg14HfJyVS5D4NWH$iv7P6rO4u4X}(}i%hf!=@pgk=MX{j z0HrRA%H|lPb3~Cof85Uc@GpL8o^A6%%p4On2^EL>-MaCnU9SZWIn*4$CFA(CRt@2C z)l@~$bWabJE9$#N`vLDjji>vaKku6AL%ZorQ4CH8<&PVhew&#}tO7=zw6UMTx<1aR z-E6BH=mFck0tx}>ap>9>aG3>-k;7~g$>VsW%6rL9>MWDSiQAE#)MsfV+Z3X?<(d^j z9$J-?~sHn91xhB&iK!bVM_*^M{gd`)(h>?Dd^F&jF zN$(OE88i#3y6SxE-vnbc?qQpUjLC%LcoH`H2Uh3^Mc_gp+8%@X31o zpSXK}e@Yt#Z>x-(+5L8;>p@R0^gcZ?l{1zRLsLZM`!q3*Xp?~%!PuC!p!bf^@T*=r8(9j|=)3lq`8?LhTrVFZLF{*u08+b%yI2D*Y`N|#m!bK@ zc}PZi(1Gz^C%ryg}>`f8WU2mbun2`i`V*Y69T^O0aEAF%JFG&6x27WBRxU zAjSH?#cJ8L=&*`cR#B}vT)et1x6&2`pmD88p{NJ5ukyJihTmSG2mgN02NgyC_cfip z?Dg&AMthv9TUJKLAIfnB>RQ?uVziD1WOf&v0E|BT=lYoV&KP0D*PBKdh|5T>Vq^TY zWHfw>&?u{NZd?bXQhbCSC?cC#V*elm8Bg%u#2du4bD*fOKYGw8X*6cWu$;$nJ1*9m zq&q9BMBm#BG?7PD^<*tj8zv$*SR6`2M9IgKS%PN84AbQ)a*c#1A!#|bB4cFqgI4i6OcusmEk~~+`F65OhD@t4-!dtx{MJnk zXW5eseLtp#-9<@-AzC=OIQthXyshSeJKlTmCo zBNtas#VvKt0#R(?HH6?7%r)3G$pEn}2-!O>1j$sMGf1YWFG27%Q=pZn2uO$b)lT43 z`&urL^kH+bpwrST@Yr?#8ZNGjdzjLJzwtu{RVQt1s3#HhAt-&~IVKzJ(4z7O``LM}8;Zk+D1o}@YC%6bz}EB>CzB)JyI z(h@^Ik*+`3oP*@iA@f8%dMH;G5}$NR8XppmYWRd^o~oo9+z~U+Ha=08cEhlhVoi>I zx*b~cEOd1=a= z>4d{JuR|bo%qKvxL&%^OKc_wgRqufr0Ak@d{bm8;vl9e?%LqdP^C<>P5-e2*Pxu@y zelqyBXuQXb!N{>w3&PYN&O8`@bVH^;U~#S0rK;E4V&~_v_F5hLaJ4h6*A>xvjrR$4 zeTC0)LxMhow!2A9Bm^v0@aD(7`bYjb4L?b}z4c#xoNPyXg~o3yBfS4;WrY7feH?i+ zI|pa4|7}gU;exM$I}%V!VPjVxhtY23D5q_*udXhJQA!F$4_J!afZM0gREW}+(UcvX z9;wuGYnE{e;!>hvWF)o<0^`DqqcJkfZcPBNiX=m|gM1HO}+xIoVolpfi$!Ct+`5fN;so6tX_LG%(=} zDt;VtNQp#b14&ea1hc(D^aO}|3B9}4_G`}P+-i3H>I!z7Pl8+95iISaJUtcFje8qL z*@VJw<_R;fH;s5+3I+vD_?gbzYnR$arxjx=0y%~;PNZ16tyu#*%3JrCfDl0@9MeZ0 zASQVd1?|Or_1ysiB;<6bV4;4Y1x|@IoqXUO({iY+p)xDxgPgb_#^rbm?3`Ws% zxQk0TF{Gt(0hTNqZPKQ?Wjhi?u4ttLm7o?DfZCYwH+9tK@7*}Z!cj>F=!q5>*6 zkM%jqkU_u0v6v$dm92P`m^K}QhkuB{0CQy3v-beXUGHGRyZN7U+C|4Wj;}nA_9`^0 zayQG+-6X7eYZ}xy7vpqDxNz_N&$Vp}aB4Hh-98HT@a2_cumcy#Dp6+iQFvaM6+nf8gZYU+ST}T%R|IURR!F zVq)MpfDs`iDghzOy7|ET9%5WBoDxTJXAPFhs~W#xRLPvL-$`EaI0CoVUsQfu7jLqx@XN&>po}m+h_t9y5L=$Rywm zI)^3I9>l<31zF~%bruKe!KO_)a0eK2b^2>2ZMWv43dUZmE!7Tf^VgUqqRbx1#{MkW z3g4}L?M@5-^q4#KD2sXNvb6H=t)aD$M#9xea&N-4@wGB-{3S(2Pj3f<)&gvIN(6s0 zglbRySp?roQs4K0zbeG5qZAi<{;AugU1>3QJ&v+C;ncON+h-SNQm2rVS*c0c`mrBC}49nnuuVRLt4`m7CoG6+kj00d9JCFY&y&|yx z5NpC5LI379Tp}Goe@^>Sz6QR?c$2n{$?EtP>PCj1DcdJSdw(QMWHC70R)=>rY#o^M zITMGbGnYy}m~OP2LVR#P2ab(nZOsYH=fwXjBSP&y-b-QZd86@0m?P#VOcNr-+GQqs zqXxgVx?C1iIBcnN_Ry41ySx)z-iJoc=o+jB=U|v6xBD}ltF%`cPvHV<9 z&@Yr0z)%dwI!^{PzstLfgw1 zfB506XR$u9p~aLpZI>r5mS950R;$Hqf;Dti0ql>v^e%$?P_zIiqH_E1*X&Jr9HqXn#Vp&2NTg^#@_vdh= zr_5{!X`=Sc6ljUzD89) z=8p|979@Nqkvl;@UN#ZqH8|$#E1JkBTeefuc!Se-AnSH;2yrzueEF)V?MpXr*xwRF zad7GX&8j%Jp{~@pSNQjjc#jJLnt^~93eV$7;e6AowqCQ15b8_l2DEcqji5B-#Po!_`qojRosag&V-E`p-r;is+vbuc zrF{-gOF9$cA_RV`$5^cUZ*4hUi=1w!v(?q>rgAE-rIv=UI*L|7mEC7ir{4Vy)Zgs- zMDD?jmJy?5!4kOCRZNFj(v;|^yp4jq1QXJ=+jN(dRhdan3|Lcgw`HWN_-`;% z&_jd9BuwLP;H)o>wPNe#SoP;ikilC8nqh9 zNe$e82gSF)N#iy=;qH!^^-t(>u(YCO^U#t-KZFZ<>ilXp4WkzW^o0wo4H%M8O1oHj zQ*Yc+EEBfPA8Uf|D!w3L?Rs|JA;NZz`8Kw+XOmn|Q*!%xPZ80u-rypcHa$e}X6`#@ zvS64Rt2`r>uFj7F~|nb)@Anm)k1QCkY3{UBTzNGWZP zsZ|vtX<13liZHTFv{yDS6^S`SP|I@b+0n>aNn*PL)yhPwDj@HY`k7!NThL zgG6m9+9-Gha}uFOMvGnfT9}zoy?tF8)swwJnOyUsQG>z^7<+TL{V5QQ|o-0youH(yc|kVjY?~}8VTIees{>0UeZZz z*cDwam3L133Wqr?GY+lgxMfXJ&nj^C6_h+B%IPR2aI5{@p;3CtC`HJn9PW1N$a^Y8 z?v|&pAyvjG$jz^L`pb}j5F)GPhR_T*SOTG6K)jAY+yYhHBDbKCL0kh>TqC!jl0n=9 zRoo-Dpp!woEP^!I0w%@mnAZ4sjQu{@?3mH`c#8c#)eHuk6>x{J(}3V7f#ByACN%J; z`()qMS~u!1`p*I09(BPw8L84DRR+>ATvj5S#mHA!L`>;0lZK(aYCwsrwLk)jiL;2H z7=l$`X6ouSSMY-_R^1Fa1HH?oBv~~-WEbI;<2F=RlHs)6$UMYRov5~OzaEJ01UW>N zWFaBJCV4&*{R*%e;Eg$jlwlPcd9!S$?5ZLcCBHPcjnS_l zIU-`}>_+YaT~sb<^r)C3f=C%>DlXI{WgX?Qa?{L%_z_j9EUW@rYI!VlR%iop*o!%Rz7mje0gBYFKqQ87|*orOr-{r`;PAQJzG!(w3j-;N{7lF?E|7 zVJv9_G1Pku14D_Zf&-ylb2@ti~Adt3{e?=b3vHT%D=-D#7xS= z(4L+NgswosYk8}m`TF;=-~sSk9H4b|0THvRiIPask$%6NevC(;?|MqMr}$?nF^;f9 z`T>B9N=rKK6Z8iiTfFL5RkZ=h)Zi@5$9)Gg0o$(wbW8OR6%N5rhx7&ybxn8f3q^&NSTL(Ry176#6u- z)k;o06zKi02Bz#CZK=A=kZKdHQrhq97M}~jdx!F17Wr-g$%bLn7zDifL;<9#*txcJ zO}#5O8t*xEwr%H&nguW6gh=m6+jLYrHx9v_02QOxTEegXFHM5z{j|<^#x?^HMg{(B zVz8hQ7*0rwI!RH23y zeqL!39^=SzPg{XhBkmf`p7EvZHSh|m(h!3E3WB(dgPVP-~nTuUG{_JhwW^~sU z7s7H+X@Ay&b7Ftfma4l*V;hH*%+VS%OIoGf?t?u=nH{?9Cvd|v&h7{ma6_*;-T84$ z-+0kEGmGY31`Hy^SW1Xou!=NO32j=VRv9B_(0+h#%6%o=FLr_DTqTo2Q#O`mONpfL z;)^%LDxAaUKcMGw@}@=PIrdf8w5mBa)TXR32#n@p!Cw1|R$xl@I~iR3CvVPAAFmFP z@(&!ol;Zg16S0m6L;Oz9wXp6%d~S5Po}~zpL5|&gcq{fGcbKNo_N=59ECOBxO2xv8 zdY{tW1uOIOk)PS2)iz0+qWpwSIXNiIBB|c{3&yJleH*TkfjJds=cskEAKsyXf~P=w zSf9I0D3c7=FW;BZl^JW+3zu0uJZcpBNW{EQ;rgom*(Mxhv~)B2tsIEc`JPUg$(EEW z`(T&WU)+k$^%EX&%azj~N}F`t7@B6QqPTb%C~Dl*_a_IRDcZh+N zsQz>y)r|Ww978jUIN8Z|&n1?|jOHbz^XhJ1c17ZNE@Q9uSXQX%79ZGD@oFxKGl>cn z4eJ9*pbqO`0m$JUm^L1$3pAnIfyOn`h^wniuhZ!}pxcpx@Mh<%ukFlMe=UoKT4!m@ z9=aq{97$@>;QIk1m`7n#D)KbjZA)klp<8a-mp+Z|`&Nj@*W<1GnvU1MwB__jY++p&C7#WBd>@yK&lT3H zo;^*$9Sv)h#UYdVp65u-9dMpLTs1dRr{~Z{K?~aw&iC{EWw|Y~Aqqbq9 zLYJ|O5iN_#OO%AWnujhnI1{3h(Z_s#Pw|nsZ5$f%^TbjlM$+UWY5o`fN9ML_BDRBS zCQ9iZ?qOAR&(cn$CS6J-^bais^W;LhYOhO23N7TVD3eiV>L=sC(GaEFNYLnap@-$}foWZ1Gd}WJ+EM3i^RDT)I#5Tq z-W{HWtck32Da_;#Hw12lz#bNus*R1h;J_td-v~6q)8l*j^Hdm&f9+N7fbtpwPW{&=rVxUiVQqIYM!x^VU#Q42UhgwMj}z-Q5`H1Rf}lmjxJ z^EKnLbpIT6{a8VcN}DTimf?d`lQ$#ZgPf{Nu`&8NI~P?**ND+ zP#fl??KD&#$$Wp>!@8@qXW2js|MFjV2UA1d>F!*=5{^U`w zk+I%bSb9CipkQ{hA~Ui73$jz-yj*Q?t9YkX7=YRNPJMG=3>pe=IEsy7x;o<5f8gx3 z{H=7eA@>Zen!d^#jt8~-5~w*%^3=c*77j&EDFUV>eHJl&=pvT;K=nF(EeS`L1~Gi< zlKnGAIc1{0$6YU|wt#T26Yj?q(AgV6_~Z;Z(rsb*mCB&iFr}2j6%CaZJr!)N@XIbW zl{H7TEr&a0H@84NAa&`r@gG+g zmux?DxlQ$n5u9216~sCDS;Kvzl;Ye=>G3-Q;?y>;O)A&ab~~=ORw~nU!B(nN??`hK z$j1acv@Ilfr{dfrZdI$0+?q#*GYD5JEeMm{0w{yF!()0hspetOEE_f;6%D4abCUPq zjFBk%kALl`a{kt7J=PibOyL%Hn!f?=2bP}j)eh#zpK#_`hr8vNS2wHb;(67h$s`W^ zgdbNyxaWcnm|jkT_Wc+8#S%@4czhA(#fNmpmq|7>A!mMDIUPC&zJRP6Q&PBi~X9>iB21ZUM5DK-p-cx)Q{fI z+~&@fet3lAPk`^X7GJmD#79UgY$-7?d-;bT1TgTdFNTBn@6{d2M~CC`MN4| z>CLHEXodnDC>lCQ1rzrB$oBW%5R_r7$C{)+Qo@P-cdiGa zp05^mdVA5{kyQa-1#s8+x)XQTZ~8p%Lg4SnXV;3V!2!@cWyACIh8Fe7m5gvj9_lbf zkSzwjQEwI}_8(C5g*n?#n8Sae4>3-3gpe++;?cldfwN)%=#L+^wbgN z!Yj8C6iK;B@1RMyOTU(n|91T}EpcFy_B$Z)QwaZ;7UIf0S!cp~`WGG+mw=Qxmoj&G zW~8P#>qLD+hS&(T)qXl+;s9(j&TFt*;dO)^sTPvK0$+hseK>2GSuv34T$DLiEWPHR zKy>INpXSM3l*P&~DFY>eGZ@=@2})C$vQlPR(gjd_zl=~hfoQ1LC^R;Q(Ab^|z@*Kb zh=GbYm4?o43dv&<$^)4!F#r(@B;Y2m$l=xEnE(7xUKpg|euy^P6QuPe<#oK$;rpV_ z>7eC}-R}Jo+A)pI{?~}bvBqSF^$9ooeI>&OscZm)Qe8kkwWh0)!*yksSz1lc^&OW(LK4QJvmC)ZP9>L+ z?yUY&O6^zyWU5Ys*GstiN1|9+2Em!}>Okdj#M$kC;1h|%%vgqI+G~;wjx(xpc_E*+ znT=Cz!Q!{-uIy(i&3G+SHR{d5@gdnmYLuoBS+C55YyBP*p6~)ycL1qc*Dj_r3IYeK zFbqczR)#Yb9xe+dl&wRU*y)E0?FrK<#mHmO&C)(pnf4Sy#0S)Qmx2-P$R*EAC|SPLuv`?US__9k%~piS|$LiV~a z!ey~{UNyCHBc9$aq089{6YmmR_UCF3`5EdXS$)3IHM7xa@t)N?fv8}{85HCR)e(vQ zCu*fPDt#eps{PPDwv)QB`vfN}>fN`AFxhHg^u;s4!a zjc{v@puhwHX%_xZO;)1+X|k$1I4U|@SyXJte`$0^0(25Nf8*#xtrt0IQ`ck| zYtgD@hhdPR;~mZ8?ejEeW0Z!nq{ih$O0l0>QdLhB7mKus7p#vTWMC8Z(K{{4U~xE9 z4HFL==ltHKNj>Yj`BUuj*xK9ZaKwL?_qF+f?U>yQ1D&a`fvoB^=P(65U9qQ?dhwFW zX+|=j^pUxsehGh&@ztpHeqT^y!%)hf$RD1cUuhI+%JXbTDdhW5oJx6t3 zlY`PSB58r2(QkEFHUmDYPsDi)8mJubV(Q=F*Dk+ax2QdQG?$sN&=9N=S|^~-6Luvi zJMb5@VfZf!RKQmyPRG6a3K8f!Xc zTMq?XMg?{LZ#{EOn=7yhr&-s{wxgFtkpFH1s3usIU6EY@ zv*(wr(1;tF+32gaC@x%wAViJha%aJ;Hlr1+=GP@Vye}b?w*z5-l6$%x@)tg*gbsLb zO?r!!Q__GyH(=?8{X_0y7-8XiXt>FMsJ|*Ms<;BGcf>yMqh5IGGe^N;+KhL~%jo&R zAJ9)9{Gx8yiuG^&grp7_nlwxpnAEvQ=3Z6KO;2TTNUv2=4hQaDc~ApC8r|7$T|4%< zC&$E+cL0GM`dcv@G6a$oTk%dE(8k*K0ilR2VzwG&3OCGs&yq zY<9FBkuzWZn``^+4{8ZJgYh7`^WMZu#dNA@lU>`x&(D;Z23aW<$(GuD=ep2%J}B4E6VQ(wI@xd) ze!)_wGZ6k2-o6s_$SQts;-7dR_0ExYXfdGg;e$ujyKNo>(TkyM@g9Z-WmBMMkkzOY ztqldxUA*}hTGn0_e5p`y3bcD_Ams7h*qHp6tu}*HC<6PU0KmTJv#(HswCm4)5WviK zx^UNN4qfN1y?lM;JrfDFor(|c;JdPHc%KXzaGzWyU%JzpaTDM?t9~93=^DgT%C5r?JmucmBMqQa_%>ASfdE#kO#pw)rxUum*x?9OQbQdB7dGQm~^XRLB|rQ)Yu)!8M-c>bEA{#%?=*GN;j>aPoygBYP(T zvx*7Uu%VV8rw;W8qGMjt%%0?wkV=Me!x}7uU zR4!Rv-g&F`{c^4+0r)0yM^a6b?jd{xQ zq*CgXwNQkxrj3LlNu;7i5w0djDES5%)9n?pDXcfSjn3EO;!H(kp!VM!$U1@`8BqVtRzgt|^uwB^z< zv_DA9tkw~b$HA2A9Dc(XvZf`CoGwx;Ae_7b{=LSl=0+X*@eB0#%#_4@;y858zZl`bPjf3c zz$VBRykZ0dfvnYb(-+kIx3`n z1}E5eGXJnCIk3Fon_W`#dGHhGsqa*fvP}fioXTJiKnF06k-{nc9*z~y9IjS zOq#ol^WIePIxNCmGDmOO|b`bT(^v1ns992vK)cWVrB7n13jS(#%czE4FFw0a<7IZHkH-0+aGp^4p82nH`lvx@~sTNc?{HAXqiyYkNVj&Y#r=yd(n?m zvPpaD=A(kM+Hx_CKvk|Z1!6r@zi5hSAxFmbK|=k%29fyMM4NY z0mQ$d8OCod>qdhoP0%Szj5F}(15JBvqBM$H8?;pIGajx}S7C3Hs?RSn!DWxv3BS~O zZW$I@E-OpM5Ox-kxafqX)UxUXp)9RvV=i+#sa)+#e`FKGe%RFDKL=SXg(80;$6X{m z_AWnB`zCCUzPqxF%mA9Ydt)hjw?7aDm_t7sns?Gg2UWzHUZB`C8wlLGf9a@fCO%dt z(6(bP=yM6m1~@QM9jb1qV6rQzkuDZJg`H$@V$#fDC*H*sc4@8M`yIeXHmqIKRh%UL zn{+|Ua_V8xTw0ysZ#gLQve>}%9>k=IM3IO%v8XW+3Iqt{4t!bN-&5Xi#$Vfz43I({ zTci)d^ny;KOMK;cN}3+9N)bEzM(-)&tnEItE7aIJy|b1Qv3~yE?0aTJ5f2nE8e^T4 zQ4twf++0V8LmrUnEa(MKlm}rBXOQTPML`TPAQ$^l=z^~Jyemam7@ifO-qe%1yLeGU z_2Sp|3auxaP9~Ab?363*69nvJOb~y|PhrC@A=o#r+-dwVuzie`_W2p?U%2*B(mKqy zhDP5pJQ-4BY|*O28xQ#5LruhX_eUWYzPk3%-GfaE&M0D{I;L}3_aaPQ<%Yf}<7kzu z5@v^$`!zv!qfzFQy;x4x_-lwS`0Y@X&N*RuV}_iB0;}7Vy76X zl1Igoi}3f08CL(uM_!|eX9#`rZfNHY6s`s6h@vXOk z0$~-x0hn!L|B8Dkicicft1lRBID9vBcu5(VnP5}h-eDvz$s7?Ttmx_OY*K+X$vQ;8 zE_3krkAWySg!tI5x+)kD5Hj3;H<6oGXaYSb9}o6igrzR>26O zREjb-Z9h02NVx7Ip}c+O!*tm{`GjZ5UAKO9{U%4Yv^jMqoNTGJGJ^tLx*19B7xeD% z&ey3Ft-4e{DD%u0+NqK&QX>6v2h-J0E04fBLYuo$b`$mZ7oqA2tC{TZe4`YlBt2a( zqN48O1VMHS3nyFcKxz{5HE}>iOwIiJ*J4#&uR*$dN>C>s+b{jf&z*-1!GH1f!+fYI z=e}cve_Jy&CLI+=`5fa>P1c9c2lQ(z6JySYHPS3QiElK&!h=fjv$rG3l!^pVIRL@Ewf$2*bBfENe>XF3v}(5?#0bpOR7g4zin)4)JL zcOd_XMNt127Wtpqi#ntm)`;^LUd>Pd^gh0G+@gS79t1Ap;6e)i0+;|!lDPJwzNAYM zNnMc&h)e#EAR>)e_`zz7l3<`gT!qELTadw=oRZ+-lodUEWG=FJpMgxoPG;&v zA@;zLns^f(=@PRXx-P;+LXNqP=`c&|eu>naPrx^HRc$ZUKPSM=hM1S!=~@}B5_GBU z|Ci~>&2`f}5-waxia-;mHZ&xo6WG(0lKJos>{1hlhP7{C<8?W%i-`($xmqRWxsoc& z0iV}tm`h7;Bzd_jp{S3lgvtuWwU)X zoa3KTnlv+*AQyJ)toyR=iFbaw8|W+>a|jZ%S|+Di&j!Aov&&|(eXpXJSX=Qp9(xD+ zzY!rG4fEqQd1?b2o*mCYf*__RU1%ExBV$9io?>BK^^D#=JAuztO*eIagIYyn9jzFV z@hmbq8Ps|_q&D*}aok#I+IjUVx!mFvFIuvsJ9%86obaz5*QU8P zI+nt(r40;scWrW`8*QqS~W=C#?ppt z%jKAdAz({~?Be__LaIP8sEJCF|BDe|8&7W{JqWQap*|C~R#!FZ;Rfv=0ud8MP8F0K zk5niXH{vX#Qet=|1_>*lIgJ2f49~%g&|3dFASUV>1nFF8jQxY@Rv$BhS%p@I34>cD z5GJSEhnP=FQapq~!BQ~Fna^vGU6k!kQa(}9Fa(4|leyjPwtp8v`|}lX9s-g$@CgpI1a25d>(+(@aE$(mCY(SU~mzjtZj-t1duf~x_gX;USp z5PRb9r&lUyNbmzs_r#<{WI*PVV(xF5Niqu511w?&(2g|t%jS>6RKtK%qr2(bLsxoeuYuPLM zwP^>F06gQcmY{S_LOUc)%QJ^RlW{v_^b)LW-ftTH$Lbf3&X)P~D*|?fkekF}HBTsd z56ZDeMC^G`b=L!>axGhdnwA7DT?$uS|BhNjS6>QOU;mCC#AkkrXMTTAKRHLn(&N8H z%mvcrK``-8f>&|0MkF%R;t{kZg$JM|==$C$De~&^>{(=0Gv;IDm1yz{^->r+R(_jl z1g*`DcZ}j{%6sHdQB8O#b_j5ucEC48><@|#G4Rw>xD0}I5Ut7&fT}@CTvjhRKRn>4 zM}mG0q$z}8jFh3KkGQ0D3aPV2SQ$kY*jOY%lEGsN(XC}Ipzdn-N-R9msxqK8zy|_c zPOn%bCqEKGXe^Rf{8IuZHGUXp$Q4ph$_B>uwf(_61d9k1yH^Cfnu5iWE%2jS-=fc3 zcG;El!8_zOA_}%oT-~10u$fM1F@;cYMN|>7NNTB(1Xo_UIty7zYHGP)vW>b{H=&7< zF=xA$(tJNQuMkA+cFvsmIA8yP`UiPm&n9{(9-F5n6Yl2Am~nQXGA9SOEc~>k!BZSF z$_lf6NF9xWzkNLj$r7^^N<)S4ku+&-WTH8R1w@RLsUe6DCf@+!(M-cH(jRF0<{~-; znO{gXb;ck*uzWXtjc)$CEbZ;(oroz*c69oVMckLrf9Nhi*RBYxa8pr|0nzkb*Zy#h z6Vi`$AX;NeYiAilC){=V+b8`p{TbpY%s!n~;JZdWVY3R$$WamLV@d3!XZuNMi_xd= zB7)Uv%aO+rvEO`;^e9Am8Yg!XFDP(6kUi&)dyzrQ5m?Qg&PFs7wcr9Th<&ULx%5ig zE!(WGw6FSVi$iICJH57ybRWx%Y%WO4N{h)$MHH`sX#+QPSY|A!2cw^~v9%4{HU%CbEvV?;( zQ;^66b9^9mkR?xIynUySwEUw3#Ac0^92HeH(z+NHc}Sx)@9o^;z;#Fa*6OIF-*a?I z*p)6OsuX%1ek1ddU<(=iVQir$-R-&E!R?IsCICQf*lZ&W@Zf40evuP7LAycAdg>9~ zwT&K+EC{f#;x41IqnvR&YH9uzW=)7^1Xq&5)3+8ib)R4@$Wlxs)V$D_&rEs1K}%3b zf#GmyOfbd2t@HQ}178uxZ!IO+It7+-O?|vjlH!G9-W*d;|MxZypR=z;2Az>7=2AtPlK2WzTNST%E=qs^UKZsnm z&XdB`B;f0T&>kB{|uxI9c{Iv!d)&+7SVe1og z&U#kjFqdMO{D70%ySIWvu>zX!7sBy!c78tr$>5 z&i(PQjqm0a&Hx3%tjJCxN9J5#OOYE{0{Jz{nENgP+drVv8=7dLq1->O?mtf&@!S86 zn(Y~(Z0GHoY}W6QhrbNEv?!}6eX3?nB`8&yqB1L%&Y@VkS@I-V+fcHmnDpW{0WxM9 ziT9QEfdpl7``SI&gxkp<_pa@fkRVP6Ry*%`t_|Pf6tOQ}P7$#~vs{9~TWQfK#TT|w z7tmvV-@E@V7SoHiT@IO}Pf;l$A)l%MxM`&&orwxpms%dV4t&2$myl>Q2|^HwXC``Y zP(_Z#aO5%;wPZK4xtCva>kFfbsKDZ&7Q2O`={8Qca{%Q2vtcSIG9GI0X-C7GLkNI@ z1%d^vUBU6kZ8b&pJgNcfg>AtBGY6Q$#njD#16c*Z0D5^Mk7GERI?bA@Vu9c%-I)!3 z@#7hNQs*Q1++GgB$4Ks99qn760-eeOv04?u0ZWaq|2ED(1;H3U*E2M-Yc$IlIG(}$ zm9sv3M={u`t)8^H-wV z#p5?W%xMRN!|4hB`~i>_*r3KQ8>UM;eWE|R!0-U(1A)$w-i2VBEG>{NYrhxQ@QZFPNHao+%fc?*-e~5~8 z=xB7WpI=}u49!kgK&Evp&Q6mD6MiVTojp%rv{QR6Xm)KGPbHG;JqokkTY{KbLpR{x zd4{;YzbE%(Cz3d&lEA1aysP1Hrc|QscLtZjv0k7{A|ke~ZS`oXhP>f6!CWt>zg%#? zAtv{At3{x`JPhN1pqan323*nX{w7qkK>brNdRZTY>FmndxUkjJb|4uzq-MQ*tfgl0 zs!pIpvtMTAh3trzTjxA3Gl}Ue%yvY6;a+lH*DXPDT)f48sjuPCcs~cJ*3EmQ^V=P! zWJa_VxsqJn7A3O6esBA|AGXss7ZG9Jia={Yx*XLyCrkr@{?H7?tDo)c9E3%MA4M&9 z_y_(?622J?-bG1hRYDsD-X^E8EV!-%q<R^N8*onu6IhYdH)!9PK{B>zdn4C8k{y`*BeHoymjUHnvGL)yX0=^60)d8-Xim3 z*3)361k^0-kww}tH38sh59t9-JGzGARCN6@%(VN6|J-od=w&anm8Rp-1Irf#hx?PIp=(6t-=^xfhGX>x+$YWrj@tGv3fuxa~5D)ye4 zGsrDpym4(fr3lz>xt9|{fG*vTY{umwXggybi->^896OUQc#=wo+O@$M4MH!{N}qpG z%L37Q^ou95y5aXmg1tn&0(q~R&1XLpt%;-ij~(rn!~&`L`B~#X?62$!(slc(-cq98 zMzDNgcH2bt*hKe)+hQEprW-aS21bwRM}tefnx8O!bxpjCoP{y)8br$`m_>(Sflr+>MEMMx{fhDow@0Aov@Kj>@sKJ^FO03PxM|w+{co%<5g>70r608o2g!eu z%Q1fBaz}GRTL)u0p`X+Lzw-R9t7(cevI7@{jR;E&Lz8S{jWI!8q^$_$B5C3L5E0VM z+LSo04mb9T=W2}OY)qoXrF}}%d3wU4OEx<*)nm7cSZujU${N@ z5PbLDi*M)V#Jzjv{@52)2R!7ZC9l!U1V@$hnX4vh1ZJcD;1{OgY&?%8YB5V1HpLo; zlm#<%Kh)pGG!|;1Srx-_1Y|3<26FVZ`{AajSoRo{6*ClJm2&3(090MN){`vzIdP2; zo^0l;$Z7XgM ze@5+QdTUg!Q321Co4n5c4-T!2IhN}ShWw5*gTH*QkXt}PC^{I$;c|7Zn9 z(yNYE9X=EUVveCx@KuDI1Wj<*Qs>tOaU#yQ&T*+6G+?(q`sF<%e zflhgUmV#cH7LnY~KSdY^Oi`-%*fho%k5!p+x;QK*)-aft6OL6C?`XPRVS_X(E}jXm#hAXXMy$t+}@svNz>#v(Dp&k z(AVS#TM1X`?&*`{XToE+Z1;>c45X`BI=}WhI8pb>M?gKUs963q%@^PC6zzK{)K%Ah zr9YA#ZF0kt4uET_*H-+~Ioj=0F{oI$R_YH0&4ydvu<#PjY~LaMs4w3{PGbFOlT z!V3qqAs9mK2Gu&913 z-SlYmPh-<_ z4~Y4)MolFCO_%4Q=;oT-9S?dP`Nb)lEcF%{kFOiyVEa3NG{xS+vV752nr(B?2e${2 za8MQHQ!Wi&x3&?lY;Ac&QYZg#h^3oEEmg<)yyM*^%=9H@`maL=FBaro7r78aMl0em zM$T^}x!5yxMpkRt_GWz&=&#MHZMg%nfbEAXJ>IieA*~ZK&R~b3Pin-&VDu?U^lZ^F z#~4g^cR>>=o4HPM<>##xJtWpqxj?WFP`co@=?P9%tg{(hGY$P2;*^+wHt{lRKXDzE}Iq+9nTpm=v$e!lxvSMa%2N}7?w@7!e#aBW%=wWn|X%#rrr+sGgYVz3$Gvx??Xi_!jr1k z1HPBG;x4FeW0Xxr0i0bNDW11~TrC&3kVgm{K$nPV&MR?J*ovEBq}-j95eZnAH#_Y> zsC#pN0*>UEz3@;ZisKObnDAt!{c;cLGuL}E->gNWeo2Zg-$%o?!O#F#>=og!G5nRv zL4Clsih!62In6Cb+JR%_rJ2!#(q9D&axk*v*AELP%_dElp%7%<8oA%BjO{Ab3DsX1 zW=vkzF}77;7jrf&h8Gy!9lg&e18}GqHB5qKu@92%s1PqEuUEFSgf=A2GYxbMGjK>u zAIwj)AbhGeCa7x+QnLLwon$rB4MVEAI(jt)xLG7;m6|C_2hh|?ivx|9|CTapecCkU zr^51lTWB>tE=3_46IqAl@T8BdT$63p#+u(`r@;Gk7nu>PB18-IZSE{cV6&4wmJp#o zfEVAFHol>(3ew@NPlHbJ$2r@n1T;r>{D3fTU3+pgUch$?{!s*QPa5MM6?#R9QQa+Q zJswq}ymI6`ADvL$MONN6R^HYs{p%qarIx*in)yeV`brju7{IE`E2x|$XO3DyA5@`{ z54AN@uv7Z=au*uOM+f??K==0FO75{T{|@H=qhI%i{BLtz&&I}% zgwAGIvOh^1;eyyCF+>|}ms-3I?Dg4$fA-nIV+v z`$7YaA7vsqyaitEiRTrOVndesLAYOe27dZqHzb7EjqtnjgF^NVJDfbWymx#;D4xFx zNf6Jj;h4pyFgGeW)=?eC!U}^VL)_&I%<=P4dPh*xUG$z)Oxy;X%P7+(jU8rmS9nzs zyf(~`&P9hw;>5($T!b^NaNu-gUFStKRvyAEq`utS!L1h!Sg`zTotmHQ@5ICusMI*A z(y*GUE@W?(TffP=Y*u=$Flwk;j#aFSJG51JR#GjHHr0UMYFHPdACZu(e$sB5)m96% zUhqEcl`+fI-cV&v-q@Q=K7E~xp=`0@Aiq3yZvR9z8A&;lV&zD1sgho`KQ9O(a8VcS zQ#*PfP+d(`6$%gd?UuhpAuGDo+ubiHK^|vRkPpkehAFHM|L0lJrqwsWD&8cITrYn~ z#_89SlIoG25ZSm&Ip7h5#-6jBoV~n!TxyLaZ$tUyvalfUk9xBtnnTy1;;AcV^(nDJ zO>(g%bY&K==J?le(dR@_(x+kKlkJ~1K23>r-MEIHDB??7Wre3y;wxPNg{KqJD>|7q zz7*1r_0-G;OWpYzgNz1C#Fk2g;`L@{M^l;F3`qy9bF@e4ic_UI9-R7FiV3D>>5(%I z1)D#)J6(FZssbYg>WJzz8(x`DGL&4i8hNkw2LX1DicKzH(@x_|#WD-_l<}sx=IQ>8 zcSoQKWC?^3-5-?uWv5o`Wa|o@jnvrOGsje$^{sWY7C2mDgi{Sus_dTQ`}~#y)_6AA zLzmmk;KkltQ0plhmaGFOR!+!lwb|-rB@~wv&CkYjx%CX)J&afd zk&_U}N6TXwyM)aO3GfToTFk=ArNy?+G~uSB2(YO&}rdyTy~9S(k2|5%4M9h$t`sq@+qfjsMy*~q%=lX8A!@2 z|3ao=woA&J--w#JOEDteRHRPW)S~X+F&5dr4bD@YjwjF8w)1xFop*>Q%iZ{ zzGR%?Os?}Uvq3|i8dxWP=pHn)IquX_7aC@5lF5F2xQL`=REL_%2_8?oaIsM=h}+~| z$eAe|MR-*%otGyRSSH2T4{yIN9N zcl!{MS~pu=MCbwymqU(GpTV9sZ3A(=xycc&{YqVsjlE25 zt-CkBoYa~PVTfc~tK<9bX}%G97VDiG(++utPJ79Foge!jDSqlR^Q z4I|7=c}T#{SWfDvc`hg)3-t$(&PV9RsLn~WhZ}-*8_F7b5je*`xKrJa9>ie6K|0LP z+%C6>&kfrbFCmhapj=0?im+AL4+!dX%BUBvs>|+2%BGZNorDoifl@?3j*q3Mi|2rc zaY1prvz))yxh?Dlv8#a+2FXdUkeP>*9qo~@ZOq=9>K5_P4fe$Vv@ zZ+d)GiMGVJNN^IN+`KAA1a0nlEnIbRZQOR`v&$Utf^q|0-OXS8Y`&CBxgH=q*5JPg z03`F}eAt^rchph3NmKoR2N1l?LcXJGNKwy^t{gpb^v6lDUQPg7CSl~$F#;cN(0;+2 zQfAfJ)AO_HG^-q9qeY)?IDN@zT9^JzH@|1oJTMnR$=Us_zZ~-_61jPdDA;=8F9cuL z=$?)a4s@6@JKD-4JN9+F=oe3f8a4yC1!qbatEoA0`bFh*8N2(v?cUq;#?WKZ-&Kox zY%kWcV4jyyO+=$#+uPRz5IF^Fk3`8e3V}dQ?Lc|Z{w`obIG6x^@P%eLeS;8oDHfO@ zR&Y-cTog59HmkBNg`V*Nj}7|HWXvFZt6@-+Ebx8I6-`0Jm<*$gXN$w|%fa=f%i;pYMU%WwQL@T^E zH6FPc^jhE!u=S|=UaUSSyynZcQV3??weOY-)-*C1@)o43Dbw01hqO!ww6Z6(vJR=4 z_H^PWI&p_Odc$4x*`E3dp7|KhgaAx-b}Grx&ceRAPuU?FUkVS;MA>;Vl) zFwGMP!|4xM?d@xtEH8*&Yhb!TwfM8A+j@MS3z$yIgss0tFX&8QnguFRAh`1cFp?Te z1%k%<6KDuRFdkgNXP}*`V8PnCR742(!l2*?q-7_vmd6~ZN{XXu(wYZB7=r3akD&~E z%mYLB5C*uV(u(>e03Ce_3{q(se=zP)7xjRtjgI+Z=naK8yr5}r0fI3Cg-|;JcIa}g z1~8}th$T;F^5xnF{-y}bg-|Ft3weU%uiIuxNpIA*onXm=hePt=NgBK0XUq5Iv0zgA z7z=j;^5ztcy;NWq=};&>1$_?s08`iH3c+GZP-&)7+}USOkymh>`3!_VO{SkqCdW zP{u1je`p3S2_Alnk|@~t!x8&M@&~eL!RjkHK+}j5^8>*wLvgq(IYd&IN%E&@Wydu} zOWM?fvM_YVaI4c|rCW~j695~tRtG*#lAf4ZY0&Qkyh137`6<{}0bonK0?BV*=E!xM zdIOM%(}oXOq1wg}tBl5E2svZ|BGnosh)u;IsMn|yPQ-)A*dhpZRV5~YHKoN@lE$zuE# zW)yt%VOd3-^F|^$f_bNsyLD9quiL$a#y$SnEVf)wIW`;kPpiC|3*S zR|lq63ykYAJVg{4QifRX3+rj2m{ms!e}N-XLQbcL-Oy>%bIhw%7+`5#2IH~PE`EG@ z23Oc7r^Ed73yJk#O2|e1cF05>FhOeVG8edDj^3)`unL}eW6;_oDV1mQ%pQUxlo%M7 zXR=`;jAT8BkSm3!x#{V2miI2$rrECm8W+%(`nG6}&R;E3K=_S4@cM6YEGk_+rm~?u z1n`fpZySYsqxvW_BBS@NVld1>vLCT2K_w_WlY;QBg*zeEts^yhT>VW1%Dt9ukNjaP zH(t#z1Afr(TnOS@U_s{v1l&Y_#1yw{AH_bCF|UX4??1bvJnjjk8JCu@Af3SA+?6i% z-wg)pZ@-Y64In&eVNVMq(*jTG)97_x`Ox4Mf}y8-Kj(bsGb@ZCNIl;FBTGsUlw}(4SJdWeSZGmp;{Go4z zM`fTQovts+NS0%i zKEQqR2sR4`WKe8~T<78>`S~G44R}}}O%lO8*8BNX13TU11H?K`$wJ-T_fS_Dx~XS5|CC<4 zhe)a6PZDDn*8UwXx)=H4jl~_oH><}5orK`UakWK@nG?Y$C^rZcT{l=%e~oVZ{>kt) z2&Q^a*>3#h|K;bFU+l(q6CDKC@a$9^QoA=}MntzU88@$1=O8$9BLRx)$L%r*Xc$=2@M>EJgpwC}Emyw&5Z%t;@B z<$9ZzDhB((g~oFGit^KB{-DBQA3oR-CVQl}Hor=4iHx1q5#j20y~Sf?kN#$6SvK{T zg!v|iddku6`*!s2hf1;7FtGHKaSwrg^)ifd?SQM+BkC=vrrYQh4GRxZ2-;j+#ej5E zB5Xuph#pQtSdv6Gn7ieZ#vowr5Ol!4ZGcw7Ho~DdBZ8{W
    AQzB9dJK8{D90o;Q zeji5X81ez5ipOYEB06weA+qTZByH`@knx`ZnP6emp_lzjWt0JJ6~)1VdCo=pvnBGq zqkDmI*ve9xgb4xae5#?f)I@!ZqbN*yo6g;iz4}@azHr03a&Z@%aYS^Qz%iX;KUx#* z{KS4qkA3k*evI2DMgo=1v^zNo9xtG&rb6ez0129sML~4jx+tjbl-TmzpZ6cQzH@x} zpqSYsp`Q?H{19uJKni}GvkYcAvY!-!1NttmZ_sD%a#!oF7`VnCmDOG4hWV=HAC}6G z#~2*I6tC7MsMLxZ@KB9V-Vry9wkNMwBC-^@&@fdU^*}Q_tETEZY3!AZUW8nZb_up} z5U}B_?3Nk9++SseWBZL=@$b2Dx^-xEVByYft66PKr6C%0m3`7BNPVEHjQeWu+D`nV zIw70-Bggt6$&zmcYN#k0blYv`k!V$c8q@rw5qrKbzyiA)Nx!p{3?1|I*+~TC%M5=X z5<3D+@Uzb@toA9S)1rZn@^xC*yjb)pArB^Ej2AWZz{IKX7IOp|25-ddw~MFJJXgOC z$-#bcw3-Co+03eq#43lvM@TKsm|yfIAvk=B=^ryutz(mE(@_GfHA&dazo@yO70eCk zJ-DNwF2UH&0=SmFXN~C!s6W1H+KH_x$ytNcGpVR5D&J3QYYq+_u(C*V=@ri#`TvU2 zB9&+xtB#Us>&f0|zOgb7;7LBH=ZO-nK)qsJLbc6-#{7PTWpd9xZRD>OwL!RR*+6j! zh0embGnnA=HfOOLElijxqNxTO9FN_!CUK3QX;7p3QFy^SJkHeZjf?^jgoS@zK}X^d|FcCqlt`WO|Rj#GtS z|2;*DeuZa`%!O5zyE@ub5I(GO}Ey8POm@7x_bwrqZwD)=PR zA?R}u5b&@huul(-lxvIIj7h7<$6Kv{ArIK(rhNV7`PXFPnB`lH%02S~QlO?{XTDj6 z$agPN|4tR)JRqv8sn{q>PFfUZap!2SQBUTFT-0ac`(H1zx12V-S>$r4`L8iAn@Ub8{D%=gecIW*nR*xBIpb=pxj;RFR2XL8Akh17ONse_P_({vV}tYZhAI3O5qd&wX9TKdZ9WF@@x<;Q$T38#z(>HI``+hZn+d9k=E z$)qte>-wIu0!@HYmqj9JH7d6yeA0Od>mP$gu}(>i5j9LzbA>KF^I3It^FY0|(fD(g za)~--vY)GYVuMu28KibJKn$#IW z0e?+$*<2wt?@A<2vw~xVS70qx&v@K|)ynG8Tp>0u1m1|WLnhG!v>2|ho5<O!5=r zYtnUJJeyf)Y_v-w(2rS<$W{tm8XPJY^yjy`9zL_;&%3*baPIiK)1s&HzH=V_OCL^{ zk7+WmgS}77h(tKu_UjQ!qz%qyZIEjJO^_=4@bU5cN4S;9?d48!1Pwsc>x=t}YJ>=H zyLXXkS7;v(sTI$azPW%_KEn*UEi>80LkpG-FTSvv|2zK(0jn<)$hY3Dn$;O+GpPVY z>)I&OF3~>3jx;L^)DSNCi&?{8yoD%^HJ5Y?k_%(Jbh6bObu~|-<=?ZPQ;T*y??u4B zGbZ>?egaRJxMl^Jw@$fdP$t}5P*#iiF2SwT%ZLYKv{t62!R45@spd{S>&axTOEpE{>p^AI~MFVN-vaj0d6o?~|W*gP}`|&go>%cZi)n zBeJ=6Wo;XffIrS!tYUNdKBtw|P5rAgh)GfB@vb5s$k3#5+CM0TMv-KA+hzzqqpdTF zkk*X&Jw_-$wco(8+}At|f(}5Y{CQ=INk64LR!Dztvu)&^EPvr`ke$fl)n(g$JrD#W zSF5~aXcdkY#w6jFMV;TAEs>)zKb@}x(qk%vP6g!Auk+W6S_dQ*()qTp^$vc`o7Iet z35}X^ga`M0Rmz8sxDS5yO9+5Y% zh0-&Fzp*|v1l9p-7!@|2S_M%ISjwMeP5zOKq3~g=T~pVn=N-qO9gykesd_8C_WC zQ62Jv{;~Bcfh8*QuarQ0n?_(>Ff9cUa%+XE1O3#%L{)7 zhYR6^w`_)o+c1Y8ZT5nKTbVE4AqcKT{|lUjn}pkSv}SgI_O>oM9!umCPauz4xOlG6 zi!Ms1Y>%nG18oDvBOKbqpfschrD);`>N5y>TEEB@^h~ltu-2iE`f@QW5nS+$#U)th zB7hKkan#7)D^NS1tzD0mNckR~t!t=eR#SV|I3POU0=7f&#;Ff`*tuKo8{;SJ(5E%* zT#vtHsCs&$B{wNP0yu+q3HF%-y+=Re6nIO}&ewGjfF#fVf!B z;BzAuN-v?j*FmAx|E>uqTERX8l-{(ly#KU|B+@3)8hGve6cWX*nZb(TI*X=N7bYP~ zU;Yps|FhKNiJV_zHV7ItmL4k{mQD4;$Z)4pRiIte{-FGcyhM_Z5!(5DE`0_n6$b$i ziXDQwj(v!t9&&L$KgD0rmgyBG20T!82>MMk%;Wu#v;jJS zF5!BW`%}A-&?!vwc!0ATm*DP$0F$M2gE6%U*_Ap7HMQgh*lp0TIUwfy_{9?)_l&Wslkb@| z2-0v|L|oeQQW$(C=^OF;1$ec_sl)g!kL)qENmsXAL~?cmlqYAM1DMxpMisT{GL)?x zQtx-S`9}-KgNL>M{4qT6=N_PxP36Jd8jYmPHB>!Rr&SBghQ*vcRdL~r8g;ykn7mH@ z$Ap!W^HiPlrPFPz*SsyY8KifSHAHfeKqHh|xp?UA5DvXOevi(p6DHN_k%kM5tdo|Hn-=h2Jw&-v0a(&=*S2&StbYihx?=@cn}*?(!Jl10%rhVFWrs-R zSpEwA!0~_5i|x@&o-rP&pC=mVpX;!8$h;J@a{?9g7q9Cg*}qwuiOs+f#W?yW-==+C zClHIRXM?7x*FR4S=>H8vczB8>M`*}LH}F9^^o;-fQ|7>wcf#V3q`jJ(gxiDL49Ya2TTsD|n>arq`@Et7@7 z_Gx4W$Q?l=*t~t)0WAt&iLZbhMOxp9-2K#ZH*()vws||LE-jvOALlC)#PZ>Y*h~5$ ztV@0~$GPp!&hE_?waxF1wWWe#{~R9wus`-op&OrDnxFCbQWwIZb#{jPHoANPDriuk zgdadC9ml3f-bO4v%@;O^Ia`>As+Sdl`F2Toyau5Gl}IbJ!P7nft{yctv~$>y2P(ys z!4}0lcnGc_IK|!}S{z`%$gOSQq*!1ef012-6&v<0!SCut-_(A_uMREQ+SvuFN2la3 z&Fb&v)1JR?HhOkeV)3)o~ZSSu8NcL+|Ms2LEr+8UHq605c=E30;j$-<*VrVaXZ3D$Ru z4`!mtg3M18ce+ILtHp;i!DONOCyF&)vhm%*svT#tfFqH4jXhl=`)O;FTffoQbUpT*|ch3vhVTWxa+uKuF2}gqW?F^Pz7?SsA zzff{p^fO?|Lnf6+?KN!wo|iiWjF$t(Tad_0L-M%I`R#v;%#G~W0yiiQniwk}navQ- zc4zW?nn0|Z|I+9-Pp3>EujU+g3fZqC)|)2Q(-H5@k_fan?u}yUm6q*SGV!-3*6Yso zbEg2l|J2uqlGab@pD3YUK&0oB?EPQ|zAq%8uf^0GPVc9lQOHP!Ok4SRBHP=^YGpp0 z*J0!-H;vG+*qet1B{ z)N>EaYn_?7(8+|u-vNHgm;x-#y$VfFaV9gc*W|Q*y6{kVW6j}4i zA@ln4`GZY?7n5MjJU~X7kVhR+`KQ+WOi2AqU_djRQTa0)fl)~OI)(f@O@W(8ux7IW za`uR2#K212)N+Lsv&&Ze_elK35_xw3xwATcO&NcU24EU-AR0*!8VMj8Ow>~YCj6>i zNt`m)f75t_$$_(CcmwAu6nK`IvU}&~HIe!Uc8)KQf`tQ9w4xV=f6{H*ABmHiJ!E%} zr9p?!+ECd3{jBIw+9eL`*)?9YwAU!-IW&js*kX48Jq!ge#k+!ZV-9?l?#}Hs$uci& zXD@b3w=ny{zuz(JM;{3Iq35s(S0_}g{-lkp8dDMZt*LuOzIY?s-N`e1d#n(X^ zz%p=i{B0Y%R|@v8(L(||hM|)eB+dCqMJu zs6DIy2v?G|1T@c~Z7lEwqUBH?hi8W&rjXAE>W``53D>4o?E>;!I6H5(km)Fe)-{Hg zimN?j-HZ#+=r%fUs=Bh_??1^?Sst9_Cz5fkppBgjUim(Me(S!Ge%Zx5pm!q<w1;1##e4b~#h%N13BuyiZl)UuvJXTl-H2 zAAdmj112d_y(m4nM@A!UJ8k=J_@8xQWK^{IrFisNtVFd>on5oYq;H^`bo8EXNKAIr-fVG+I_xMAr{np>n->+NLN3ShxF?dE)vVG!5ud)sl%*jl^Wq|-g;c+Xc18f_Y zNI6s5m5r++0fXqoPNQc$GENx#tN_(1X7)tP`3xHSAqjt-kl!4^6VXzv&+hG7{^rk9 zgV;BAjT>PlDzcIqJUULSCXeP!Fo{!KSm}sl3ysdKq-=q150o7!Ra%C2)0qk za0&D1>S-2uHeDn4PXd&T=&2YOro{}Hj3tbZ!Sxp&rpnGpKcxE3G@WB6D6{o3uv#uLz(lK8*a&4Yn^tm?wye>2ueCO_1Qsn} z5pn%V(5?@tu#39HBc4M#+=X*=;&8f)}ctuEa&g+-P-Zt`{+ zFa7PLaAD{X+F>5Ic@Q1%3H~pQxxd3XX26(y-rhFKOG3N^UGn#DT^`UamFP%`wh#tmMPw%Oe&Gmq{?8$Qm8 zmN(X>;emp{#?A!p3I_I~HFli%h~K>9y7SCmV#FY2WPQ9A zxHCOQTDww*~P&cu^sVmo?u{M!&y` z(Lgrc;8hL2GH$q=RIu(g5}7kg5&dQD@ABos8tWKc~!! z-4`;^CVdLyit5oLW;J-+3uX$s1MVo_UTl1YZnjK#v$UaVrfKRd-~NG-Q~i68^;9eT zBHeq-bMub2zEaeg-lusiFF{>v11s;JL`(Rg?xu#|_R#l}gH~6suaYZ*^qMwKLE&G5 zgq0(%$G0DwR|4sdp6ic((7t>cyWen~Uu-R@haDSCg2MUV@8GaY7hbWlo}Td=ZOELi zX>hw&I%M-NUpgJm27LIrs~-ozKIiBky9f zXh4F|Vf``t1y?3~2HxB8gY7HoY;>Qr$|uQKuoL{TGixaXF0t1xz=nhPD##}wVF5If zbOMlmBuc+AGM%+%1S>EB$gf}lHP}5?Ue#Vho~U?fKA`XjCc+-O6{6TgO%<}QUto8D zJIb(rk9+;8)jp2@OX!!qY-d2Rg_k22evcOy7tyrIzW-M1!(v18t?jCv-;SM~A4_a} z=vFHRzb!t%tbsLviz{!!WWQ*u)$Q!By&qY2JRmPV9$;Q~Ywf7#bM@C=Aa`=;czS3E zyME=RkejK?Z;9)RrTmf8$)%YeTE=!MD3Nu9v5U+gxjgNTDw4cOB|QLWx-$o0H`y1J zKa-E<%#7M~C6AoK7YRXRf#m`stUyfGqBe`6>p|jwQxeVpozVuvoSW`+rnUWD=uDwW z1&+xBIpoy*OX_mWFcw#JjJnFA`a_|VzAdA;WS}`ay33piOFsIN1^vCN4oaSGm!Lbl z83-7mij`687|zcx+|(`nFrmmvl=GXR;^)Cm_QMJfcFoUW{34@+Tf7#Z_~7{BF>TtX z1m!;$EEUBmtTD-I+Bqi3aiZoV3MD28+7#;PB`f?=1@-f+>%Zr0;s6xtOfq$z@uKFM zS!r3UILT_ODJI+5>LoTXSXbXPhwxZZ~xuv2DO z#EfUuO(ZENVa-af&F1ZGTiQgjZo_bp&iDGC4suz%Ah@W;<2FUaSzFg76$e+Ue7sAs zIQ>%skI#gvW3gSzi$Fw;x6_QNE_-<92n^gJ}b)#izLG zr&s}}oI(2a1Ns96Oba5{OUP~azV=t6Xi_KWd$F96Fk{%&LaQV=)jnPaM0Jl@>FM=( zKJ^eMpRlCF0CgA5xvIn|u|(uK_mlBZ!?yTwDMp|h24B$--p>G&2^IqF-?0&$_lA>x z$Il@U{z>qtIN+ZotO+%>)?@u z?D1kDtfm`I&^}1`3~NRfvuN{GPfn$IlAwPD6ElT(Iq`0Q{-R23v)hXxeemvT#Hf9EE42I%K=Ggg_ZId&#E`mMR_%XHZu^*B}D*J2df^O!cb z%uUd<9oV&e)5OMC2A_sbrv}o>t6lfaGI)_p@fyrHeRh0)}EK}{O}VF|VZ1Hq4cthC6d4bMsiazRY@d&2R z`A3|4XsN9H``*?fZNxP^lvGM^>)wNp;J?MlT`96a9lAi-oUNRcK!t-E`NL(e{fDQY zA=uEQCc2VUjy|7M zefR2&e~Qp@7W1ZiNSgmT&hsV?WYY>Y^$iLQdHC zY=ipfYmb8y1p&#Y?=L1inq$y)8#t06;SaVlJG}nG;?+r|;<4yvmnO@w+YP{qk>tdHi3N;9mRn%#R6ebF9JEN#nY$ zh<4batF<%soGV7I>#c}4XSCjR+)T_0qlV<2Ow9N{E1?h7L%lAFJ4-pgp*^eTf00lh zyk&GcCyATh9fjRIBGF?zSdaeHa64T((#T@IwsJ_jKh!_X`V9y`Ftkon9J8;o>*OcB zwst5Xljvl?Oyx&3;x>a!b+7Frurs7W;OtG3i=^vz79ZR!Qu4c28sv`u!^2T;(r*7} zFI!4&gTLP7v`h?ho2_tfgoa^@P3^Wy8#A#qcQgHG0E*d32)Gl@^i1jluMgDp%<};O zFY@$AnoCzF==4b9IP(}YrRDN+$fX#Mz`@yYWFx4RNkrBBG7BFA)ZmZli3YRpV$6JG zu2esAKs~Au{w*W2Dy}r9--PctrJRuy zMvSyZNSN{50rLhWNc;Z#yt{NN@k7?5B#xv%l-j|2)o(S>hT*1p2F8!QJEgWmI%xDD zwP>leF4p5aG;EX@f}V#H@F2u&OfO^VvnVIjyF&_sV|jyYL&ySvX0ISWLn)4fC4{0J zLqxYj%kM~OptZL^uwGfZVX@lKO2>}t=AZB6jFP8^x%;SukyH=Km8O6_=^c-@7F@4j zuYUsO@&r74lmlpTXk9#`IKDRw7-Sabfs#faF)hCO~qS(`{xc~GKfLy#REXW-C zZ!Ycu6ZaHgQCuTe1ISV)erS&S0GJ9)qtkvG2i{c%C2b!Ym+}N(E3uZW=A=NEU8J<}b-78c4P& z21ubk-9K=DBfQh~f&2=Na0bymwKwDRSM|v;pu?UgbfN6}^_3k!g1$=EaS-i-`dRtF z_nG;C`G$Nt{eXCC?8Dub6o9r}cD$SV5a2>B81y{9Ewf!RJi7RRe?a<%>4GjOLX=uI zC;29IO8M65M-KAN{q#F>Gf&h57JikH$*ko$Ngqty!yaX|iN~zvJV}3A%%d4*wa(0J zm_I2ON5q4TXcg7ZEV4hD&|1oa2yeCA!Az4Qd6PoI;~snU(8R1IEqPO1%)=LH^%T?$ z8ZBv4PXg;*1WQ^JD_q1w7j{*HxW1LA9*4QUmaaa7rap+O{sgNY(a=nHb41adgr6qF zZX0zqkFj1AV+6^9q(e3Q;tacfJ$;19m#o7&_F{;sK9+f;dWEFpCHBIAwLTSm1c{ua zBQES>4ZU8Dc;u>qq~kF3LI|~f9C75zo&eRmtU zyB)i;?X9B|-J25B4>Q{RN8trH=I#jT(TP7byRuJDS*??B>7l z?fTn?+HpBSK=9N4rzYwDV6Xn4CTVedb9*I67dIKl|I=Ni>FcFyj{C2xEjPz$Z9_xS z=rW7T+&H}I2!nXJ7y;-lj)xt zm!)>hvC4oW`*SBJlRHg7IeUDS6D2mj^$+I;yF(djJBeTNwg~${UE=y47xCbQ{$~QJ z6o0fR5HM+izWRsK&hXd~^Rn(MW1ed0Fe4J!Y&0T-ZYZWG^$Q0*2goyv`{mWpH5ll2 z7UC|*Yfh%UA}Ffxq;$ey?_(RF$^Mv#@(LtFbW2eAJ$Q;datrG2^8Cu*#9G#6*o++SZ`Nb8%q7J_K&&E3 zRoujSuIq%RGZvYfsw#EJONqApC@&r)eX|q=kt5>tox|$*gq@6ov7+L61&Hcajr}dK zM9*piE%}t&L`6Oo5px+0Sp-$bVj67+5;Ms&}$=R zn%gUa*kPIE@^_NvtwU#W7@!A3%)(8I%~h#Z66tlCGD=1Vh8dmb<7_Mr^~4v|*z2Qz zIEU19Se9_Zp3gD?BamQ8b0z~MV5&6Aua+~a=qwj1(;kr2M~hqVBOG9Oe$6zAt_Y16 zudh|g1?c+z)8KB0ttKtymA5)oWI7hM#;f4MzT_n-z&`e_1wBS5I5Or0z)+p9F~}xW zkYm?=)8T0hfKRjL#BQu~-XXjs%VwZEVRKD=xOau!+u- zQWlwEPEP3N>2&zYicyzXuFNRAS1}vYMF^xCqg%RgzADKy)+CM7fQvnHYYwimyNb(X z&hDN#{hU!gR++Sbr@CMD{2`Osj*40vOA>d9yP3a1L@J&#?SPiX60u2^vLeP)#vu!z zRc?W4`%@94(ceZR8U}~DkxFxRrWyn1W&>2w$)jtA@nQgF1b^`t~7F7G_VKNH07ahC|{; z)7?gUpVO-f8niQ^w!1@8i`%EcD-_zGv+imJs?q@CyNA^4`BT$}F;w%?wD%|By^ssEr!v$9fC`KL zbz@7h)qLCQ^ar} zt)VDa)#%LIV}I<%^xhSb6?e!X3=cJ4hT;(x8r~|m2z=p6-PJwOKSuGGdMXoRTcPul zoPN2JKYQzI!2~%)LO-+g9>qNG#|-V9=|=XLw>GGf3A|9mdd5N%F)U?0%hBkVo`}@I zBfr*Cg5dLq9TFrgmzmeSQ3{w0zVOz-=Ogz{nYh(X&Ko31FUk+j9LO6cFc(xzeWDeR zzuMUdL^8*EAs8m#SioP{8en%51%*oB{)8{>)}5k5m#r{5STu#pHo)fc66I)}g95+E zeo2Q;++9Z#vIzEHJ_kO7Iqf0A<~JjqK^tHP-~`3i3E5hIuABn_U?^VF$&D$R2)32F z@o43+|N8}_B07&@N z&75DBW|FsaI2{_6uFOeUFnI>y`MQk@tnKTgq5``JMj+|U%l+^r)Oq#9FWbMMMeId3 z(IpBK2Fr*bg!oz_ zkE00<^Xr;=Pt6NYBg@fl!U1!x;Z42zzxI_@hH(&fdhbwCdR$FGUGOW+0#W7G@7D4P z&`g(%d-bY3JiM1X513sNoj>Ol700_Iig_;g+}c~Bv?ZB$olrB$T;y0Z-~%c!rVQJr zD7#U7F@C13z&zoAOzHL3L3Y}KE@R)0ks0U@oX2{h-^(tBpTO5yf5#L+@LL|4cI;zc zSd<2&e$8x;oKzpf;yi?}3{*pRdZHq;^rj+$WGdIGG@bJzqhQ^XlPNCK)!c@!fLUeC zS<2EPa&!k(nWTyo1Q#;Rv){v zYxP>?MfpJ;5@m{L*0>XaS>jcs9wUSt5K$(#3L)oD@xZi)3?i3X^2sekL~!#%6n#Y) z5>_Y*Ca7ybqS1(PN)#sWn3U%h8N@Q^B^cJb^%elq@?!vc7s%L(*|Mwe#qB^p`@B4Z zY#Kc;NBK63_qxydfX?}l%J~FPKj;a^Pu$lK2!6lCAR0{d!ubd01PeO(H#h2$ypE50 ziDqCdRLdW=Qr@J=Zc~U@$gk^WciNIFfBJqT(!48Ku#!D#dzCNd@&DNgIDl$69u}Ph?yB(| z%0!FKU?a9%2pSXK=3+%iUs%DL4b2~9+Th1ENzH#Uxc03}o({*oI={L!-aG4JLIA7X zfBj4Yx|`<>Qn9xaqN9>Pw3Lf;p!+4{)duoAOmWEKZsxI+E*5r?6sFCzt4s2@DYTVS za7g3>{=6yd^U1}r`S#HWmESmN)%`WrtNAGr2K(;Gg*hvE)A0mRqr#9Cwsi4U@Ismj zQB$GIRX|y@Mp;6j>b{sjNUfBG67%fPls+5W1qySLQit#R((@VS@4zL0Mo>wYe^8hxi2t6H;?in#*+K`SA&9jKHy1lG-@{~ zjE0EP>k@L2tkL|OjaMeg7Qj>pknHf?L;mL1f8q)B2jW)BhsjCPOMrbZRO;IQsHkZhBT#mdYA#4J#ucaq^NF zO}Yn1ilgc#mS1_oYVcSG3e2={U3St35^w}w(0n4P)e?qL(np1Ejc;VLH^ zaJ*@?r@vPEYK5>F#5-S(Oa76sH>uE?chN!yH-8wL!Vk$1;q8`EbX{^Aulz*Og~;>c zBMl}<6K2*c*N2_1Kcn zguQ)~Fi+5lUU0CPc~9i`&gspkPNec{BBTw8ad2@w^f6;aS5H+@PJ4ETSJ7+I=$J znM$F*Y;F|rUxsh`=(_O_P9Uzc6D;O+R%(U9he>&s;J^~D7SMzm!;H5(a58TNdPn3B z{I=QH=tqt$I;VUZ9dS+lx+2EMGxUZg@T#xeT2Mh|)?K>M6nv}e?cSE|oOA32!RPGbkY{M1hU-<&g6Y9Dp488)7 zOfh050wQvQEenDw5Tbw5Ye*nVyj6pR-&0^!02>JDgev5R8z7K~eTya(I=xqN_SR&7 z-m$*qX#Y15nfYo@qBDH?hC3*5`*$>SrdK-3v(W8L8};osZyXCO$~Ymw6*YfVAJLu~cUdu}$|@yCsc#$Xue zisj2vm%Q=mrj4v3gu;;3p>;TMtW3tgTmz2K9}p z7NrN?=T#AXzi$@4ltRN$9QVUB^fld$ix&zQmefD%kD(=MNCs?tvsiDP@Q8&e-EYJ@%({NJ#JO?$cYc2M?PuUgC zM)YM|*YA0bPU0CGrUZtIORKk6EK>>jeC#8~VK3V80%bKyYlsTGH};-YEbfa3w0Vjw zL#-(A)$0noPUVhoaKJtySg1_Fy>=6`6p9i`!FS;;Pm82-{RDjg)NmO1az(;%#bJB| z-4cF6(v)Iav#qs^x?qn63+^uLWXAH5l*>#yUoHudwy$%^X6h(2oLu+8A@#mTozQ7V3M5?iA9|1OVDN z-`Mcq>^8ZZ*MEdK7v(Hn!Yg4dytQ#YYr>6{P*aRmtC~0B@_uBV)%TPP(`+~8_>nUl`1My*>)P@~(*wm%ooiWAn@B5YcBAcxWoVb= z4eTxZ6^GiA_mnVjX8wt1oMbjrR(7j2V5u8b>9pT*AqeaS9YW~)0y{Rt>!#(IFV=Z{ zkv2Jm6pD56?5p;nPu!8I0FdKZAXLZ~N*VQFF7tx`Bgr(6a;3mb7EucwD$aE}aJ$NK(OVflbVJE@SH*&v4F+izY zJb4Lx&pjRh6fT~)pX~j}IF-eT4!5rW!zKPe$ifdemZ;)bsMHUWm%u z_U%WbYbo|xvDD4dQTur_U#kSq*|^7&S2Aio4og0cBXF{`4Shw2+Z+#^(>vC+!~Tt1 z=PYJ-zc}&&MznW1t5pdpj~UAhQnbPb)za&c~IO6kP z;y5xTDzE_){Dki0xna0%?;l`|Jd79Iq+(&DLZPEp)DY0G_A8W7Hytds;C^5SQFCNd z?n};p_V?VD99`-4w)c+3y|Uc{YAOL?tw?nzf5Ud+V`&)PYWu>3t%m+95%5cQiQpL$ z1myI8Bw<7U@Al)rk^q-F-d<{puL0Zq}}vEG%*vnYB?%Yc{&J_O_QMRdSwxo7Ib;V%1N(nU}7bvNv_@ZLvGXuX&%RHniC? zgM@Bth>?*uT&G$(k8`g1w>`Vr4Fj$Y$U)YMNtDwO5(m(AjHF|S(51|iXoy#_6>XB2 zdX)q?h-S^SU}-uEgeGFK{j4@_AJ*-osI9k&zo&-)0|7}!ik5&nf=Nl zUZtSpRe10&lZ?aiaJJ3(f@R7$#PE$(nYDwYm9nYYACrm$fq_ zD|3UO>DdUVd(F{0ABx<7d>z_eC)qlER@UC}5q~EjSRU^1fF9zoFR=uu%*c`>8<$u-glED=^tS6|4DG=hv5>Wbm zMf7D_EEoO81!WFe40GoofTy+U;}zARSJv-k(#ak+)dea~QWxbWMKT$5xI5(n?3`fN zh#+%MTnfQA!#D{R3y~gB4oz)D<85deNslrXTC9(MUaH@q!thYsR?WO7{z6 zEWJxNS*UtlsG@SWWj$;5JL5`iuflQXH%2_wcp38(GMQE*~5YUp)fhw*ZgB*MN{Kf!hv;(_W9D z|1H4dv^OAZ4&-c(zId64<4460MZ10=S{N-R#92o>sl}EoNE@wCoRQkdCLu(aX2rFj zxsMJ=lT<{Qg6_kN%7MocoCL67q>A=w-2vk8Cvp92eMFGR`>3df#F)p0K0n5* zrLuc|LiFjGbz%6y<$LT3`9;voH*C$`W-t>xH=zXt27_`VU`?hBc1>7jbb7+YI#?LG z8KZWq{VoXqlUrdN4fXQ=F9+Cx_a&0ltGFDrbNA zQsx{>wyDMzrRmBNy*3%lyChmV)vDk1{iegOcitWN1AZ)Et|IuiEGG^>bQx4xwhG^c zc!eZEF;TsJs9ISFa5wPRYABQo!Ucrn$2|Vi?z@7F9+WVTr)-?PLCuddjC%K@MceEM zI2>TUwS}(0^n*0S%nCSe-hU?AxCp1PnA1ME$zrP_`$bfT7wES8>jhoefh^HR+1N{V zDtSNk7Q0a3*^K@7=VWxx-t|C8U!kUH?h&zK>kR;?DowV(9p(vFHuYdg{T2EznujY% z|7x#ghX^u_=MoqQ6S5v1lf%xVAQFv*-Pss}-fmP&`Y%pnM!o}{X{=DZh6)U;u^mc{Pd>(Z|8Hmk}<06(63N=Z=ga`jMh z<@fJLH*H?{X^c~e6Vq|qjph{d#=qa-au@=k{CY{PBlea}(4Hu1UxiGAB?ekrsq_@3 zgji38?4PG4%v^OYXM@Hh9yCIQaHqNp0|tyuS0hneR9S4(q;zaxL#p3+r-llzW=rU4 zp{j3>UD~?`E^iVmi^p>`DpA>k%XGjxO}SHS98(KbrffTl-tc|Bs^5wmp_I)BgFL42 z=FO-c`%Yx-v3BoM?2Tba9__Vh`qpM=UMlFTYD|~u{?RYSs8v1~)y9djLV0p(#n|2? z6%yP-=;gt!6ZbHccyN?3i9Is|uPRUNUfnc*7k;_bx=~h01xMP3$5x?7D+%Dm4}*Q) zYO$p~jCTI0`8;qxlM~)K2=9z?vg`4>U)u~l=R&~>><ur!JMq!hT^W5?N;@4}<{zbE5p*6$=$sbpv zNwZ?^*Oju8ySRX@Na<@aCOj3O;o3Glct}7>BWn_BxeFkp=jExE3<;~X`|JoevVH4F zLusxGY(c%seQh@%g46Go=yNc=f^N`g;~J(*Whz}MBQaAVRH7s5U+FZh#Tg7@b2n0_8Vc zrZ=e${KQW{Ca4-#C;;t85bKL|OK$$H=#uJ>Z)1G4LZx$=snKEOZl*(6xR`0}em0yT zz4q#k{r5vsF<6*D&N@0{WSeZ?x4+J=qJLN%(SeHXbH#6C)bVy5E^onAFkspK__@;w zrZer#i>_NF-X^;#`Bt3GPdb)B{YC6!cF^%w3Jx1jW5UM3D=Htmj7MepX}wu~|+^2NRR(&cIWhN98~m9=bFX0|sxzBb{1vDBU; zoCTsahwXm-wvs``TvQNPdEOJlv4U)6zPl`eNUt=(XS_>y5T!t=jCs|iiKWYEPgrC9bnZ222 zHAp3IqzuQhm4~zzM=f^;b<92a>--falCze2AkeLFlS$#w^wQ8AYG@a)B(yG<(;-`I zy_{n!BvXOWPd+QQVIC`Sg(-U_lGl3QCyl4US1c!oj##K;BwIw`!cMTpA1d-~6mK8q z$01fGUtbW{YMjp{0^c2)SeH*xf-+%cEU+Be%N#PdYj&b6v}vfTUkARS%Q!Bb!vGAA zRwt5ZD+1s8lbqdf%;ltxc=4N(j3AAIH8DOxyd+K$ex89!{}IWjfd|tD?I{l4(S&dK0j#yvpp&lDuxCr@oz&`I|o=Ax4j{sbJFor2Y&eI0pyf z+WW7MzMt8&@&MWhIvlPXw3kiGXxK$BE}leO-36UX3~g+upM``o<_vB(6k0JEXNsy6 z(>tR%JHx;LZa!gk@L;;%EjrrTl2*RM0$Wv#s8mitzJ?~;`We?$B_Fx;NI>3KUY@*! zCH#Ao1Uc2h1dlP?`uf5|CpXeMKblq#ZvJa^5M!d>t#<%}Hk_8PBV+BJWl&!JRwZNT zdx{iMX(&~xIIaBX>fl0VB(1p#9&`BV%!SF>SijrKfLk#}X;DLLIY08eIaR4?35))h z$=W<7RjFng(CskR8c@T?=Z)+g$>r)kddZv<5?ag|5>P}U<{0sOHu{sHVcF&@3 zYvN-{E5AH>#m9MQ?2{UO`gY2S>NuqPgHRRQu07)}(y1TmIzBI)=oMm%vQd^-7VAtF zBNUB$cXN+ZNo{am#iO?{aglQ?QTK06d!GxxUi|ZZ^FEC)%=PB3|36s2liABcYJOte z6we<+w*;K(yf$a@oN`W5KA`stEApJ4<_mrdMMu@NHdj;1s_g0tZxJ`BD9<}v4}ag- zYpGaxgE^NXZ+DPlpAT+m{l4!ms@qkSaW!+8C$;FZC62Epj(}q^t;V8Th;BU^5>L>a zmyiU8E@#6VF9G#ER%S+9LbKez?RB>l0SLv^_Q z#8P?xHcGp84}+e%?Z=7P6_ihf_$D1=v$7{0xGiO+qKh`(`R1#iM{Z5J`w#)+b(k*5 z-aNXURnEcp3FShp&M~_YX|8S*n0S)$AdYmkTBI<%JE6@Bj5!9>2ZBwnQnv+wO)K1( zr*`s$2DoB$flg?fR@GyP)=q*L z54xdf$Op4@_Gc+~4{4asFJ4#IAD*;muEIa+TFzYOEB5q61!R{SR;Rds+N9+aZ7Uy+ zEIZ2DX3$MKO)<#$6Q#@u){1r>GnODP?_+H!5+6IU+MevYcZRpj{}STK3*P5Q7fl)5 z-v2GXZM`zlEOF$5>p5;G96xTP@N7YQ*eMkG`v?n{kZqrBf1;KsLNJoyS66LM7>pFC zb#;b`>3r0jpgFA>>Zwtm3xSwm^$;9dfI&EvP+me%$IgJtO1U#eik9Nlpb1J#f1f`= zIOeh`Z2$KS?X|lTkN}+G{j&?o5D_231XSknBDBF7G$}|0VDk!!^SW7hDquJ-FVL1! z@diL$tqJ5-apl)_5HX!qYs0X&*&6Y|1hcy=7Y%N5Rt8de>I>$6Em|H_565)aO7_q) z@-%dCd1HO_bmTR4aP@^)5Qw2yO9lE?C)y(8vy2J`NU((SGj#_eM!wf5A9?#FXy9Te*RN**Yl{aXD}?#7Vm4#Wa6rN*@#hts{4#PQ6e&%<p~4+<>W67U(Le7s_$xzv zz#Va^_Cgu+-t!^PU5_l!T_8RnrZ6>gLLFxVJ*Dcl_y7zKAZy^-y2%bekh^c*hKKEN z0%3h~LQmLNoFB5fPQgCbe zj8=g?KfVC)2g15P0{MCvc`3@Q84#Nxl$3WX(3ngY94sx@04UFr>b7Kx7@e>r`gY6qS#5^Fv#%YVMk zc-81Ko+WU>{`=phIUH@+eWaKmAWg#mQ)v#-|BbM!IXVGctSzk_{(F*c{XZ2tLxH*} zoXI%4F&o9sI@HyfCfc;>IT09S=y=C-cn3U9IT&T(Ea?fk(NgSZR#a8vB_%&v#R@jY z4l}Wddg+~)WU)9L{|*uln&c+$(WIYu-WHa)KDG2TI3Dxg=l|RK#CFQ*fq`CA+(cIM zo^_mro~qc_PQQH3<1{A$Dt%_nYh1w}W{%jlj*-xsVSO&$U$$Sai3W7Ei0G_5_g0!O zFJGvePrh{9;$EP-tjj}b8`s{*a`^6n@B?EKB=oke)Zgoj*Hkio<_ad7*IIKvu^j|VFn z)_?>B!p(kTQo$@X_mZ0lzc3eUCuH_g1-SOa`Yjq_+5Qr zEN)F#Z+SX;j^(Qs`_W)T?dinC(0jaTygg_|+@kt1Hh6_I(dml^~z$E9pe-x!>KE8*!TS-0j+X zSOod+$CuRw|K?QWRKV=}|5R+i4bN)u(_R!4u0;@{#&Ny3WLBU4=JfFIlp8#d5X#?$ zFht2a+X)?k&n=}}ey}0E!^$nKN1y{*efLbsKMo?y=b%k&F(4YOiHQJKLG_Q>f#P+- zlV7=tj#K8mlitQJj{#wQ^dYYrMlDziW2Ypw%i*bmgh8nti)0>u%em>P43FrwOUvQF zJt_~Y;fG^8+H7h@zxL&sSn>}cu)~u9Igp`{q}YHL*#qsj3fPr3?CB1DqTsFO> z2k3gU7n}TlYKcmdQpWUfjG@cnU5oY|teeYhoqfyU)G_=N$;bFqvAKDS$8!t!1kzyO zZ&G2mLZp>zlZO$4Wum@=OZ!a@P6{~no3)mJ*46b-{e$}Y^GmM*W!oR-!`;ukId%Fi zM`0BvF1bw%NDfR2syJKiEyv`{R|~VP$pN5Nu+tdt6Uc{0e+k!E`9|)bF17ggZsY5V zTS?;tfAAUn0h{(1uhKGa&a%5{W-;(5V7OZ6$6b0Y9Zgg8?9P?D&jl+iB4{p}=`rG7 z45^S3S#K+N!02fWg}6?dL<;@T-h_*#mibt}ew%ENX zGMNj`^Y;qtLk^#)zmB|QsnrEW{)=o|4Sr%3doT@1Ih6X~_;q9nH1PDrqw3kQ2!`mv zP_g`oK!dU^&^OF((2doB3e#J>T?qfv_BZ58vEXdk{<)rz$7geMVj)L;8mZ_9?5koJ z_GPa_g%YHFU(TZdW{&fvhi+5&25-&P+Z*rsk8r!m#E^ErYpeQ?iBO=We1z4o-b z0Oxtt3-Cv0KL)JIkCc!vH4ux3VekX^H*d%%5}hs707yNuXpl(R7M>T>)j7tzFZ$4D zo<=pCj?l+jTc6`=*8LjL(1Dr1BFV_XZWmdH+(D}ayV^oUoRKoJo8*dai7eO2T6CLx zZTvqujSC~m=lhKvoC(Y;##tikdiz>cWMF;3-TVD$PvR2cW3AUsTTWa|~p+ z_8(M?OB+X5`8)MGrZ1>mvpaqA*Btuf-A)7XO%sl#8YetL`3T5+S9Y2d(mCQEmMDb_ z9sRKdM983yxmtaGaT}-J2n`BRiw7RKq2EdmI;2Ydugb1EEb6UWGlX=C(jXFw(g+NK zfJ4WCbazR2Bhn?^A`FdybR*r}NXNj?ARsN}9iMZK^>Oca?waSBXa6zlowe7zv-fYW zz1P~;1704QPwn2VOFFxJsA#Fi;Ba=89rO6c-!aRlB{{za6{sSO6CP#T!#l_Cj--() zeK&iJW6sTeB|8+b&wFKzc5(RCBc95pob1A;`doCAnaV3%t?R-adQXDg10x1YXl1%j zoO`6<5+JUJK-KYy3>qOAOiO8MLHbZxRm5>}&#LgXjE}SF{sUb_KY*6_NOr`B+p?m3 z9j&RyYTuB)pX9(Zng-9ocj7Gm&z-nmQgVLI6Mv`VB+NSBp8P&R#M5cyR?+&hC3FVh zh{WTEP|U;zz3}kXe+0{7mzk?@>>4d4Asz^Ni{Ek-&1E@u!P)TaSYSiFy#R$#^>eTN zx7?y44uVFB^j@KDR9AbN}95F;^)pYOe|I5xiWs^ zOov5kC18`xk{Tx|B_H53P?HXuWjU)>ao6UZ^MtwcX&VV(%39 zik7QnbdwG9xm}yLwcXgB$bf=4zkodSYzdr35GSD-sl_-IKnPtByG(o$)p7PC@Rw`e;5 zMcJipPHAINea4I3Th8eyA4hFK-F-tu^#Qk4Ih7J^_wu%|yD&nC-VnV3E&7KP)@l;E zmBIQzJD@Yp9<;#Q!ocVi4y>cwONn@xu4Ju(RdLQAwOPVh9(-kFp}5zXYm))4$uAoK zE2T`Pn_D?@45I4+XP@h(nEDOT0fVW@r|vu?@82zE@_P*#OEOjt;;IygrP#~$EN-+! zGjPv!_M+zz(k8N34!Xt7r1Q{FAgoBeGnZLWe78u8c)r28%T9YKdEp!r^zm~eUg6vL z%*t&+jlG!?s1Q6ms~a@;t3x$-ugp>#bP%WQ9yE&OXk-bZC!p-6Mr{ zt-)*Dt?^(~tTEe^;{XHTmKH2|H{eUvHN%!#g*DS48T!IXkWHCUN1sgPuDXowlk`rR z3ozH%E>&j-p_D{XdG3XUD{H3)!(DeT?JMfmo+^9|c5`zj`{yWYAJxz`tvLp{{QzS) zPKD@HhEiJC2l{os0t_~$<3Q>khb2#Ys(v%$9HI zJB{EI1CX1+1XDp=q}rO~QdRh?}|S)82Gws9LyXddkzdsOo}#3q+US=EB7 zkK&fhdlE(UYCe_{%&~CTt#m#k$M=aV{EH**p4`bC3QOyc(KBY+ghZ9T_LfV}ek@L+ z=kQbEoyxr=SF&t9Ax_KAX8l;bmo!|P!nAxTzM*43-;B#w&g^ma+QuTV;#)_fz>+Vr zz}kCXHc$Gj&sRpYUs3i<@H`og5(=@qut*^U83WvrEzzsF+hH?F2k{lw{?a{#i6>>7 zYUl3eY;5nhAo+>j`51Zh4cyX01$lz5jgiUD zoK`}HQIFt5;Tdk1hFNU8msAzC%{=a)wpbzWFI)GZuUshVi$i&Q7N z4lC{KI5Dh8MZVl?7c$mX?6FTj{xr8Mxh77HWm_9(OZ4u;e2M8JPyZ)}nzP1tsQK1J zsqFIHmGc@3Mm^#Po>@9-f98jUj}SQ-$xw`#*hyWLvSOV>zO3~cG@j_Dmg2_b)X!7B zrXh9e9#@!1C%i!AR&ism?tMHGs-?X-09=j8d)=Sn&$P?0e|Ub^`N4q+<(GzPCvo@% z8LkGQkt=AX;q3ivoy7EAdi2C=STxdA7=8G3oyhj-ZC&Druh@lwV4u@&KS|lj-tY}7 zDogNLB9+60h3xGdg3HKLjTWIosLL)x6JlY=El*+@y!2Eg>QjLLQ`jXsF?A~`o7Fzo zV~-SP)unYb>q8T0G}=;K@1H&$-Fa$U@K6$WX${)PYvt z+R*i{xynCM!(8Dxp?}jWI}Y$nPWAYNl(n22z~Fi6eV-72!5IvR3w|CGi}#R0znI{u z*V)S`9>ZcSRCIRmT8l~^B*uFU6Eo(;R02&ND3mrTs$@ySbRVbfecRfTiD!cx3YZutvE=%VgTwsa1-TREYCIR5D-xgLc<5xQv zhs~6pcFXq+l(;yzm7F)3Px9D(ly4_>bGSO6kO5vU5YM=%L`u>BxU_Gbixg<^3Pvz- zh7B(a|4ySzDJK!48=X{gwvI15PewtZ29xT?_?qeKB&)i0i8P+iJ_LHpvjtj6O-e5r z`D*RPlz!H&3Zue29%wR*QrDDWTB~JPNv##f9HTHeZrP|Wj)81-sV9D}dC}4Jh|Tnw zm5fsZhR){?Ej2}-&0lY25IvGxpV>BiDD5!+5d9b;u|76`R8M6y3j|jTzu7 zmS~}|HYBJH*nKXQMNrBH4-- zp%jxLHogM@L!$5C2U}GYf2!n4mfRm)9B%VF( z6g4=Ay}#uO4#7{p&G9jo<~+Ht=d@FVS8QA8b4CgQA+{wZ&r2V^6WiJ0$kgSNi5i-v zje8I>oMFj@x&hJ0pp&BJ84~w;-rb6hK;iuC$inbRAtCUBR|D@IQg}}8iDmfRchIHJ z9_HN(Z||UPD?fg4K7L1r2ak#rSnghOeT^m85YrtWboe+a**iYIrfcnRS2de&>fKv2 zY;sAUrRJFKS`ZbN!x^R(+;N7V+p9oyxC-m*-Qw9eEw z=(ES4c`cc!i$-ANDQF#mGxV}J6U7Sz&JQonsjS`<;ZAMgXswK&dvl<2ZE4=$J+5#K zG>u5DULQpmhZ8xWM^?3gZ>m*K zPA<-dJ5b#Rtc-pg)iF%g%Yn`20sq7wKX(C2A8gZQ&Mx7hVxDXXVHSV6(tQtOT~ZxtIEz)? zsq)kEXUJl``>)Cf&1C_ivUIiDK0-GOaGCLl@M8>xyIRiks(E zg6o=M>zbP9WpjDr6b{wpNtNl9S_8!0)1Ab})Ffg!qBGuXZm4=Qh^p%N8wo4Z;t-*2 z+pDwpZi$RL?8tX=lilMsMl1SUP>)5RtOUepYx~@Vtl8d1DGhst9O$V&i0@<6K_iNG z7f>An@rqOJXbZr_*r#c3M!=gwI5L{Pfvp%E_o$o%T#fTSRxM>5o4x-j<^CR#?g-UV{{s#8Fn``j5n2polo zlX@RHK34r$L-3h0Yh|kE12gyeGf$stVU$2BS_@ztThb)OXjVE%DL^4Qqc5f`i(-7d zAnEp4U!P*SWHlqR4n9X2`yDOjN2Yo>snmXARzojoSE-i1FnY#9b*w_$BHlGrlHgih zu9OY7JW3&lJU}CQ!sf1;6l$IX6e12%)YU8yl;9kY_b+%P#}m`Dy)3LALh55MTAS#V z+BsAr{Iz_?E7&AEn`xRfFUK2QWr`gj5SV%jRjU`=C)Jua)JE?!t4S!~k*7RCd7p6X zvvY#S710+W=_8t`ecBvYYn0=r3Mewn-*FgNwx^t8-Lqe!*8^u^kP2v5A=#Aa2)|@7 z#}IAr7nUTCbFh_{-V!~!x}UBry)9zz*Yz#cEinZDMWOvyqstJ~CO@6y+IMecgW@{+-TOv>Mw`6tb=8v{|%|^5MDAx9Q zcW$YNbpY*}`d}ZyM%H_c?x?~3DcwTVLpDCBf7A*Uxs83g1`vQKP#`*xTd-hWfD5uH<# z6+bB3Bl+Yp{ZNR4d}3zZR0_<=V#i~Q3$mL70O+t0+_OBb-GJOnX1w?m?5Z`-Vw}|` z&tAN`awzX6ns%{|jXMvv<@Q0m9@Xr`NqysVYpIpYY8(@pLox6b^9&hsAUAT>0802> zrpQ&7a2&Li`{5%vUo{;PSBa#rPE*zO@TP0 zH3*;Do#fN735|7d&oz%A1hgVcOYcu_wZNo8&3p3-e69&EvLF`!bxzg} zkFpApKUr}spCEn^J(f$(5Ut{q-Jvq#vD)G*=MBFq71Ge$2^`6A_%$e=vl9@&?LnNvY}atsDQWm6x-nT&UMfUVc2Au!T40O;35I z{zUX~=>_!K!x%JLK%%EAM?28nxO1n&pmUD-?FcE(yIBuuE^XH3kc=%+oId!K|MtBM|GK3L%6+r+sNGjsOT` zdPo49p^RDs93~c0rfnJoWP8f6DTHBEH36xYepGz!Y<`*1F7CB1WKR*?2)(e$Hiep_ z%eaKp9()uGD0@0ZOftuqv|jSHywl6Xu@})DAEyNJ(VWt^S=^+Hd^uA~$FF?bUu|a# zZFe)dt(zQYXld%DiP$8$4EdfLzzcq?Fz5(~kN_y1@S4Tq)tm~7aX~-^ z47lL!vF$sglf91@bS6uCu)vlxo}zmYryGrtNdG}E$*n7Kg|}serNtq~Ytw>LdeeE# z`7I)SHYxq_{E=3b*QO??^i17mohBg0(_Gq*Z%_mE&HwhlqrOPh9laV={&$j!n=BX#r z>lQ`1(%CEQ0{=?*v-K<#etY-gAdJde?easVc#DoT82K zpJ5#z8*_l(A``yP)SD<0RxX?!s+X2s*jsyv*~GkT4lJx>ow&s7^L#jud)&UZ&n`^SPrnw%(xh#dyA5_Vdzd!rmm3?Sim>_CN!LmBZhs%014 zBxSf3kGdzYfTZLoEF7D`J{85{PkEpgHl(fczUAUGpP-Liyd+YY#4oNm11b*g?})?h zGvi0B+aevdLwXy1xiLLwFehhg6xZHYkDvx}Rs)-6lbr7i5RG9iRuQjHVF!+IVom{A zd9u$zY3!0Qi@Q6XLGqj+8v)~#S3j28dNQiuaG z)G)l-t|9QCLbZBZP;|$J;_%4RYZbj&M3&fe^cF6%%Lqa$8&w)E8Texi?xW0zIgw^6I3)37lzht)ekQfw zZiZNDCRC7y{~@xSfVDIk%=nr>O0K=k%XK)EvTeg8l&iTWftU!8dB~-%m0A5HiRYa7 z!#TV*1kv|}x&9-nH%jop4G-P-g*gC`5aDO|29-`q*cQGdM}sfi2@#NggmV6BfJGFa zGfBvZvVfn$89+8LQqlw-K*NC_1R(vX4R6g-{{WDHTVUsC;9v?j z<$o~*ZG4xA2mUji!`%%3=3gY_;f**qn?t{Kg%Svd=zwPp{|8?bH$0ck}e z4#NK?-@|$jep&->VRhaBa&x%%PdAAmi0df+JtTdP2>uk7?*9b6*{~B;2Bv@;Iovh* zV<`CV9R9Tu;_n=eUHlE^W-ERzyz{${cL)Lh3`qZt!@nl+{|-`5`2*y?aroCv&fhs) z2_NSE9vc4*hkre=^gD--8UFyf*(bm5WdF`#70?fWf63urcOHIs&1>-+ux>W!*U!0p#Ct_}6LmcMc24{U_)@hM~YG0R#kc`0F*CyHmRGe-^_300|NimH+?% literal 80234 zcmaI-18^l@_%4j@*tTsOJGSj)V%x^Vwr$(a#MZ>N?aU;Zh<<|pH`9u14joyLqh`q0203M=KuMj1Hb|D;%dSS(h3qx^1=$z65^_AjPeqn zlK?<$Y$NOtF*?9wAi&W{ZDE-qMFqcTUM9>wsV4>M1ZU~1T(nR$sqk^zNt9f#fRjU{ zGGihl`i9%bo_Rk=)iy&K!WJebp^nJj87aW1TyX)#a&`pzoal9DU6ZKBBZ;ls9!8_U z-Fxl#zk{D&-o6}Wr^P&CAx?Bfs6I1OWh) zzQch90RD^S|1TV)z3 zuwUHdwDVR?LYEP*q^9oqX-nEYuAwiMrUnZSJziEoU0?LyK@1C8L`*#O?lsH9#+5m} zHEX~`v^VXNf9lc4W^uB^^EmDDaAh$|22d)!lEhx!Znsc0sOqU?;$Y@h$^nZoyphSt zqrR0daZjn=?xI`g&j5oBVidtdaHaA_gAUyW`amZ2Mo+y`c6m^_lSj9|zFu3&Bh=kS zzrs_#qxJF>kYe{$;Ljt=1yG9!TRPzRv?1I~2eLpPO8AE{Q}yQaS1!K0Q%!9q1q0vP z2(qQ@F69x?$KK!EZ#T2S4v}G5!%u!j7DRc5ETYJ`6;5wraMz?wU!3=CN5-pA0Ujm4~Kn zCCGI)`GFQ6>BYi=gSNTQsNbctsnc7l;9wKmF8=;|n zxA)!ssia4iABqOc~%noLQPu_hb=S56{BNd@;cK>1Q`Erz*~JMlu+Za1A)!60WO z71~_-d>l?K9BrSRHzFAULxE+V90JgE9uT3#enE$(c(vZe@H-7(&Q{SC>E$^;6Kos$ z5VbdWNI;ako}BPJTAPc$#--sGRckS$T*v2h??e}xB$55OrLbyIdwa75vv#>CYTM}m z=bBMP0~!gi(Zk_36Z$VjsnaK(MxV7ol}|%Cle%*G5aXI1h!B&Azwxf2fse6b6WMxi zeL%tK6M3bzEa=P1u6#etZxRSUuLi;w(z78bL8v#k40zQuTAXRfd!)sF3GCZk!TU#L zy~?4?12F<-wLd`+(7n8CA|Di*Ii&+?;EQOF`S=U|;+DUxD;(<}Vp>!~UoPu37LBqx z+?iYjS=}-i1-gd+RVW4H48eRzMnLbPhtMHl^qB>Ugx~}@##Yy^761lt3+*iJDWBUz z-y}psZ?zJ{FF*_XM%0Ox49g6**O#R(zA!I<@ECnI!km>Bu+KkKVhe=#sojAVM3@)1 zc(hvi4?zN#TVuBbHTvYZ=b%rrCaFd?l9P| z1|h0vy~59QH6n0?6OyC%#L1b8AG5;n3n@Vv{ps7|TX9OX;0pJr<8ni13Xl{ch_g zcgjRo#4?Wk%)P~`KRMDC(KD^!-VC}Y&tn>P30)(<584M~yxlFyV_JfP$H3AtO!N*^ z(u8&+sl<9)uGa17(y6e4$FN}-r+OAC)Tdl}F3~>{{K2kB{8xsgo=eTP!4Px;3&yKC zfr#9TXD|fCS)iTRuX?_oMU8JZD6)hJ>&?AR5-?vF^rd11^%Zgdy96(9l_Ebw$pcT^ zBO4xrOP4vas~UYfceqts4-d~Dci1#+7=~oWx?;_4z!Y^X#1n{R^YLrM|GtY7^%nC$ zUvz{YQ;y``m}F;agiY^hq3>h*9xFnb!A;Efjz>lbeW_A?=@+B-hTK}OStCBj5i>an#91w)G z7>!5ak2EC%vkK2vh(+mMv5NYsG%6%^Enc18u%%s;i*M&0+6*Bp(_x?O)*qe%A*Up& z|F(YoDC}EQy7$3EPw>uE+D&GdBRW%}njLs;KGy!$iX;f-`zF23Pu=ALk3rOANa@zD z=lUwu#^+Zsz~aw96h;;DA}u;nPESpK%_8!CXzTSR6pmr6`3E6T0oJm?+0(gLS7UlGPq>2~$u2MQP1M{eQ4Edw>FUGMzD%+Gw}`9k5V$?A3I z6yabA@S)2%^VOwI1967{HZ|YHp!Fr);R)#-8R4@HvC^umL8O!VXq z=?KB;G%7H5%a_PaoeimvO5_0Zia(tYE>c_5BQa)E%^m;AQAJkvX7d6qe=sg*#4=Nfn3{!-mWjjHF0I8x z6sqH9<%Zi5rS29f!Nz@O;Loh6MbNn#QpI1Z|@J z6HNObK>`-n%2A!-Pz`m zjWge7tgnVG3zso)fjq`%#<6yh*gB<+V#D*+v2K$8K}?6|OK~?*7+T6iO!!emv21KT zhUaNxufK7%jeY+a1~UAo5K?5pi3NNy|8dr+oJ>nU%HH!J{(8>LqJa$~E0TDc#!BAe zVesMBjrXXr`C$#`Dw3fby6Jx&y`NqhYu(#?Zj*^dxY0 zRVNMuXK?C>E}_oUMLGG>a>=v+Q)G!1i~ zSDhG^i@^kkmzlB{y=6=mjWh z_N%?X+f@tr%kh(XP@hHZg$mAEB0RDet&`=YtFY;pmJ*QHmgDx?f%HmV)XYJV{CH3)hwfrkeynA8kJjE@J+CRg#J74&7LRD8HN9IqqRNYuqtJ`~PTC5-JL zjN+jc4&@AzK{-+NQQd|G#d3Nnxo}$H{0cfWu@6&G^)fA`+uJk?0pl)K`4D+7HM1}X z1hTQk8K+^_Ql;S;R<+XcpwR+ue|8tKEj>~76YY6)^!BiWNwF(E+ z-gfh;-YC1K6*A2bV{vh(y>*z%W4Kid*1~k+e-}Vl2yn&9?YdC0_H7Wh2^lx6edjbx*XL^p=`0#HJk2cCw_{ z_jzhfe!F?K6Q9qKwY}0Ijk&>*H`{iRy$fvze%p~d2$7$JO~>KhHngc%4j1z9@Z?Zu z9NxQqpEpNUgb$gqH{6jwh=iDVt$cM=d-*F(ZLEEWL^!{|X#?+F)hO1U2r&U=3%Xi6 z3Sl%ot!6;=w#AZwE?bN7IXF|GYFlViF2yG4`fQqAqwVRqd!6m+M4MP!X%j>8weLD( z!nMdcW0GB`E#1+lx(twVbEK&@>C@V3b-YcZP1dwqt!>Iwm&$Fb)Gx+Xb9_!yrdz)a z;o(ujiff`xyN#jehv;pOR~9Q)T&RXnDH8GfDyeqH^SWq1=PuIy(7Zh+VVIlfeB$fz z&^!w`UadUh6$m$IIX@&=xX~`MtK84UAE*9MeHrd~Gr3SdPI(Z08Ls*AflS2Z?%0e6 zDEybL{QztU=4;;|V7_avBJeJ`<&o#+BlFUCC(xiYm&y6mLGM%+@q^^rHx&4F#B1QTS8sKi zz4bTk(swg3pe*;+*PA|s>avLdRcM0b)M@U94KpP5B1UX+A$%p zmGxP5zU6%|CmL0-uYEP<;`TJ=eKEb^A9>8XFl4>n9_R(~-!ekIFYGLKwCDF+7#*bx zbfx@;5DK11fKyL^8XLlv z8sO%J8y$i$93UAFr!oTV0Y%$q%LrLCWMvGbS%ctw8B+Uzlp6vX@d|*k-7zWkHyKf8 zBZSs~m^U&g4fjDeueT~q6NY$QNh%HM2djt&vYKJiD@_^|Ej3Pf z`M*pLwi{?ZB;uHH6p|ulP?gE;5gASMp)zSn-f!-SdHQQOc$k^tlY#K6M@Co3)52IT zZQ+@WEEX2SM-awkVTO#3ET=vhppiU+Wae_j=2;&4oN@hnSH_GF%%P|*za!X8V!7E4 zK}i-xiPJk1Wcj5ML-evhSOt(-$xQp}Tu4^E6O3UxIS+qo2WA8zPunq`Xdtm^8r#oA zOdt(U!w+m78sEGyQ=qzdNKA(a$r(Y9I~>^e@ymq{_hg{3Q6Q`02mMWs_8J))CO=7y z!3H9;QGq|EMSCp{sYy?Nr(UXHEH0wVL>(Eg{Bp6wJ^3hX49ILu*ui_o0x8h4D2<=g}nDLX!a6JJV>j0QJw<;nu&lj|P78jqks7^X)Yc3l2_lCy(8fyHv^3|}W_Nw*kIfig}DF|>DNS@p?4BR_eI$%qn~UO=9{W;{s<=aDu> zG#gffG)@aO4AYzLB|Jfo?BpV&#Ehtf>&%Jo$av?Qu0(Y)2%GBUMQ-9d(js&riT6&Z zMSZXwHK~Ld#zzbCS{dOxG-{KcX2W4=4a~qG5j~mBW8wGNVPu&z!)`JQOh_deJDAO7 z;rG~~A;~c6E@0~1CQOuSY@ zdk%$FP#+Y&jeUbc4F%j;h`cg-Q6H5h$irv|%M1)Nl28`0BdlNsVq92E z_@@hoV`d_(aAR5QXsHu3XbVyu0gK^lfxa)HWLL7HSN+H>L`G2Y4fXg&2Ef73Xu!~% zN1fGT7Vo-f<(1I~a`*7YQ3D+yu7QpyI54rvHc8niDrKa^_5vALAU1fC$ytjGH+bW* z)@roIw^&A+-hbFDfa)1zwfN9Pe8+?Mu7LgBQEi=8j(bg0sxjMWIiZL42_3RW8qz6~ zAK?O7tC3psT`U{17GA@{f9Ykm?Xyy4Py}!SQQd>A7HQjwudWgCV22c#?Pw8T`>PTg z(B!kz#vqKs@!bQk-HWVLE0qD9RH*JrR*QpO#8*RzKHTuYiIz%d8bG!9paQ3zP9j!_ z--eMO{LpuYHYBNOM1|8Xf`GX=_5ppdtkqX|>y+Td726}qO4UdmnQMc2vrN7k5ZuAU zb46vOx7kvuL>GZ)ms6g_SxW?e(09>rI@F;mpAGvfsG~EnV^J`bq+ zV$*PES-I?$8c1g@ZW(**_TiSw1=dG3DzNsY7OPGh;#W0E9IR0W4m;ZIX};Boeg?Mh zSn$TTkE#C}ZLuzvq0Se_JeDZkh%A;-v<7bdi(}=8>7H+?EPJ9p#Gn0Py3vBmmEaRs zJPm)y$ZA)m%sbuf%;cJKz--@Xr8;ACB5ej~A8NG-yC-y$8mEFgTFY!# zRXD>}J>6f+Zl?ppAMgFGj2Y@gC!cNY%%(`k=4kSLG2_5GJ-8>obxgNZw!KoXy2w+v zkG5EOITOEvNNQt_*0R`DVG2w>e%hGEtPS9smJhUf7oxd`12;bCl%vkjed93ko{5)?U0UFvN>y85RdxysR@j> zycf%0FN^UVxZ4L>tP21u}AH`Z|8M^$y;^q_TMd5ejdcHXp%fMF`JefYT*7U zyVZ{N$ySRScf^dU1QaNvcAydgBr+G1AYKmZX0LR*mDn)|q7_3AO6#mhspYP8nZ*=ltJue!8_~W5`;}8VSM)XHPAcq5>x;RGxawNLzPzipBHKBw```~M! z68Rm*r~kRK@qJ+scxTN2*D&Y5@xO;1{$3$T zY{2`v=gswPS-ijGZ=W?I{k7eF9=Um5@4JlUKWg?{CGz>!QYZQ1l7Edy^rHLSGQC*j zUw8Yj@A|dfdYgZ}d_#4G^K1WJH41D#thdcE-mN$Lxkt|FpEa8Z_*Bm6?>FoEzToEj z^~~+;H7h=?mv^-_;ce_wx>MmBNix?Fb2cgNvAiJn^ydY=uEE{GyfgF+_#-kkTkI>i zGwF>W4m4k}sI5dKWK;^ahzt|SF+)NVk<{Fylr~5SIjjmTBu(ef zlp>+YGvedWgdH~G-Ws8-QxbX1MDr}EMfDO3t`aDrl5)usNu`og&604#5-P-!G0c)q z?u8J-b1T4kBB)hf4Ewr-H>rqsjfgj;sCR>CmKllsa>9w1RI+%9CWDlNZGyfCaqpB+ zRxYu;Q^EuZkL z{PARA%`pIv7wp4i^A;SN~tNv;T8F3+?|`JNsYttp8Qca!cRV+#JUV-%Z5EnII+pK*3K# zUdL__XQqUKX$YAlQo_TtoobTDTfrWa&G{K=BAPYd(7o-xY1jV08h?Y=qH?U>Gx4vV zpUV9F3!Wu6JaqPuUngF>ufJn_5kF3UeZ6r3wnBfAVq4|q=HOQ+6s2&jVY4Hv>1M*68V3l? zQcuu{7%Jvza&@e!ZdO#CL&^KF5K>wJ#HyqeG3n9Z-&)QQ_r@llkW5BDR1Rs)aVzV# z_OMeCWKHPJ?G3HOV2d;t(0>uN^n}^f%TGlkt?M7Ats>rEKx&rSZ`@5{>kAyT4#Xd9 z9)@W$RmhAmY}!mb$i#2zlclXjUAOX@c*Sn$GcnY^#9X^gJs3kw(d9Mvh_tPygnLVC zZ_-(KZ9lH*>rYji`aqa7F#Wp5K&#L+UwP4K=~;oeB<$w7Bs^?ejacQa@40>+et`9{ ztCyat7VYs~K>xCyy_>|-S8ZEuJjTdu@7-zZ@&5AAhq&zFw5#VzOIK^@4dcEzeq7fV zo|4_8um2Zu%wggq-(CAkXYFIgz%*i)pKhM3x%0yNtbMB9)@!e=zvkd=245esk3nR{ z!Zx*jn{K>aZ0w`XP~T?kvrJ!Kwkc3w<)x2iP5S4<(Bou4AC=!6EHFJf{zg!+BTNZ( z+l;c2UGjB~dB_q*THp7`xJp_7huZol=j)$0yEL9^SSOlCOVQ0v5>BQ4olK33a*+!H z3EmF)ZdqBc*DThZj05f7l;mR%4K2-%b^=u=J6=3qtf@kN46MVOs2cIQEh~S%3kzLQ z;nqH{SbhfCGh_q@D3@0guAANGyKN|lVGTYTHndn%HH+rLXb74VL^cso23qN!nm@R5 z4MCx0vlhtZHP>fBae5~g$=ERA3pm?%PO`$qH&vAuJ*Y0*=`}4>=CrD#r>wY6L^P+J zNlopYH7z0V2Ww`>A~mGxo%-n+%3DkM%SY^Um8b?9i0k*rh}EKzLdVYH_fR+!Tk#sq zWUgjbbxjk`3n(G6egUI+N$Y_8IE^$|Bm3o={Mn{F36WVk&57umI8RmweN~qAd|mrD zrG>TpEz}-Ye>FJxlR2~Ol@Ed+Wse+bv%7X>7_0#!*XpJkoTuqv6eCQFeHh~AU@Cvs z#T@B|YbSC1XwG+x`DxLXI79OYmBW+v=@jXB8Cl4BPD!a|&aYA?PRU09%KjGhUE~X} zQ`O>{4ZyZwvhb=`F**j(G~#y^R1xlJ++_@@)7SvTg39rkVfJKDqK&%1w<{7Sum@zigW6P=ujf{Wl_>cs{;r|Pj7$rWZy zUJaf;gS-^Xizrd+*}(ASLInHFL!vm1W+<-LG~U9yy1E~>GY_d@#q$jHc#zczA@l7p z-O3)gJyV{!yL-ZF>7>6t)y{lM_6NSH=9^Au^VfxX_~r1ft;YD!jjykMT521W4D=aq z>GGKN1t^DjY}=&k{=WChd~SV~^RmiENKhY1GcBoM`pokq}vhX<|*ej;BYnP#pfd9409j z42-dE;7I9@*QoBzJ18Woe{+snW;TDKenb|KxEyItVw#_njzXMT;YII=^r6VzlBxMi~`BqW1&jiSXA0W`dc-%>MS0*pr=B* zP0X}WZW3)rCfQL46Kt0!SxIY>uk65c5>qEh`N)4$g4&94pr|F0Lxz?~MMad%40`q^ zpc`cy?&6D4$cNBJx=-sn6>H{^cbnI9pQ{u1MnQXWl&>cHg#PP*#wD484vS^4K9M0+ zlhP93lS#RVyl!C}6qxAa71RXDBX&d(LE$9_7Hdmm6v{SXX{4bQDmJ-Pb5ae;Ciz(;EWgN*_E4@< zfX?y1+JTv&+ zrTK|49+i)xm8(#o`pXRFll8yZQyL)ev#ET_9@adGk)~tryP*EV4J=4qR$0%`L*0i% z{lXk@bgNGxMfgRI5D;%j?e)@OmXeeE%d)L)gNgw>aKWp5SHMnvqxa>vwCuI(>|3n= zd(EOKv)q?QAU88J_^2Yh=OG><^d~fcP{{n^4Jzgz+US?P|6c6v`zoja>>q-kFtFhG zKc!)NAXE+D6QjT|bCcaeo(BgK%ItVm}vk0WUxrwgjKhC0gL5O*9<-I>8O#j6Mzo_@GmBbEi}U zpUexF6VM_G#e%*g_P5jAje~fGgStT#2nUiu?Xf`UAojCB%iuVg?2$Y3i@TC_Ansyfq>o8emtl>e%PK{fNwk~5idZnpkETPKc4!o5$p~P*7x8} z;WMT$IiDBstDxTkk<4FQ()R}N5e90&4fs{upN@zaK#WNL0RzD9zq0^1f`K9;cX%_y z2e7oUfPMWBtEe=R>tX$yN2K|k{QO54`afqz->K98msKSFP4sbgGjX;0X8inbzE5g~ zjR%@Iet1A~$qc)#W!8>mXQ`6CxK$g%`~oaPNov|WrZ<>4g*?~~spO9srUpa_Z>P z(J|GDx4I)!N`8zf?8+n4TD*|{b|!CTo%{&O*Ok|}tLQOSdv2HyHY7a`oM_4-@SED+ z{Q)US24QhAw?0fzNz;r1K2$t5%EQRfx5}8rid{?7w<4Uj=75a;bwWh6j*X#xMo^2d zJ&7>yLGZYpYw%eD+iUCFeIK9pM-x&o@Yz^`&9$!b;ACiB2d>p?@HqRlYkLb~)^QR3 zUO(_16f!o#+zO5)i84+pp)P1k8~TB^RW$DOdTdf^+v99pYvjBBKyh5yea0ZL)~l}V z?PMLUvVVOpfLLVYlC6r0*QBLU2YFI@T7Jk4I6;4%1miV7T9>H-NDmCPmb#>F4l>8c91 zVutNGF7xc*RE9a!G6H-5g4Ga(g~_lrdhd6baD1+Au6jJjXN)5k`F4Kxfd2 z)?nDdX#|=u=4k{PF)RzXP3Ri34%mQ!qr@XvZJ@E}2J5gP)U)fbHKl(>H3}jRf@+1> zMqb@P3n8P3>>zMy7{C82?69Sqm%DFjY8tQb}B6Yb2gArc9r z!q`9^P__OFTTiHL5m^;^f#KFxF;es<#(=WSWL;TdR+JX4LtgPNl*BW6}JhxGwz4Pci|hK!wiKs z!ID6-Ry$-1wf3}vAvz&mrY*6V*+gK1muGWYOQ^|ymNceSdSyu40J9JkKiLr&4hD}Z z#XY=_2uZ;62RghP+x2+w5dX$J;badwycqt?*%2w+1Rm1aktiGna?_c4VqXlCocj?{V`GCTjjky)1bsOsTHK%~rljP4Di4(jPur9PKlxyAb zG2+M;62F_YxKx=jy;e~Ln#G0tWJft&UJV+8$E7&5K_@-lI_NPc+3-3??lq*~L1m=D zSB#|}BkC`;`xz*VIVg6Fs2NGJ`e^iBm{Q;dLDU%3qpS2 zKGehRsD9G!vEdV`_~IVKlL!3y3l|?iba$Tf^WR(a7s!9R0OhT9wIz@M03_!B+ggY7 ze_reU_g=t~f$D(<_SpR?PdI?Yh(n^l%FSwtIAXG?>$0w91x*M`EFnP0;!<4fVw4mS zbhGg~`r@hR`{f_*Ec(J#ginqMUh`uxoNZSc^7HR&{VxzhJOz}c*?-&HeuAnONRmj0 zv*UfYNMJvqo7-2g=oXvbxH31E?b_L30pc0&zc%sRYw|+xnpnM(z0N+@F5M+E%bK%Z z|gG=Prgzr1^ht8WycBe2L0T`a+$@k*e|qNKvi7{)~&bLMKG-9kz~ z1PCDY`2RcjXGcv!A%E#;Gcrd#yHC?z52u_aG^~R>job?17f2H)afDPxRw&n z=dF2aG0FANlLL136cZj3tnl29%K@Ex4xKhwv;r>rqpij;ZmAW3Eo-V!n;Gu5_i@=) zV?`fJ1ICN*_mLhwx$ZgL1Y&GqKsF|iU-%rff|>zqsb|>A-7AF6+UMVVvf`=R%!&cJFDr3m^Qd5?6)zM?AqfY8vyXMhutT!HX><=@{DyKG@ zCWjFrREG3j_2G&)IawzlFQ%F*KbNO0d^l&qY!qy9Ms$=*h*CV&_UXqJb-E{!7SdNU zaa9zxsG@{|4;ukP*?(b|R*kGEu>ZW zQYotng;9OHe9JoUtj@JBD##@O_F-~SjOtAAih}FZ=8_I4Rmz+;^IyHRu^uLFPi4HE zE#V#`pXC3AsRtJlfzDZiy_Ru;AkpzcJT`?Mf&6PUGJ1RvIoHp{qTCe;Px zxM#pwxY3s^XqAu-llQPd_N~#Oo=9+G>X9heUBzX!?S4l9bvlUy?vf~JFpM``-sHIW zZ>AW{ZNJZYL7eu0y@I;*DN&CnczA#Wvd@`uyPl$eR_078Ia}Zir2qPLLmHCxdKj+o zI)CGa(lXj1*mT=v@iO0c;imm7K(LAO6`y4Mi;x5k+;^|%MA-F4kXQ{M1d*eM@Bz{s zFt~M_Ou~zFq>a2!d;!vTAoK{>b!^xJ(RW6E1@J=25*mhr4T04-Cp-ac@JsmnA)&Gf z_b{Neks3w@+MqnA2I8PR=LYJa{`3#zLH&sf217D*PIv`~BO>yDr|U9=dutHr3V@$K z0qTGRzyK0-APIQki7!3!pa0pMoK^m-tn^LEm;Fw||L-aI_cQB%IQwE|CJt_nwq~Z1 zCZ_+JZm$F5si*1oIhT4eb70Y1PUX;qt~)iJHrhlH+cQoGyqDTJ8h5w#iaZ2=Eb&Sj zC7c;APPC&i4QzlIObQV~g(k5LY>*g+mD8G5(P>KqWk5j3r7kUnfMsAWou9w$c|Eau zI+1SLyuEzY&g-;zpW}bsak=I9=zBB%YzA;f@d*@J@846gNAgz`0OG%eK+Xqn>1v-_dH+xt)MHu1+NVHN!9pP*QTl1OnV>vX2RPO_tF zc#ezvGC7rt2(DGvTSOAS6*Q*~x){0|DbA_Sqvn*H@3z4E8H!w8!JDZUCNc+?6kpt{9-)b$ zS)QT=3!Tb<wX8R8fv_Rdqt_w$PC;k=yV{f;IBKI1?v~NEUk8#rkh!OvAKp>b$Fj zV10Y()03kvtDk_&ie>Zg%lWz3BsS8k8LI`==tl)*O_sq@JZ_Nb1ez~aQsfqNpf^mP zskbeuq}Dpulr&Y~6JZp}#;K|O?O{oa2lMYK^DZiE26wv{VlztTvi-PFH>AuW@yWpG z-g8sN36y%rC9k_ouJgMy(m+a>V=%PC(G{nE`3X?R=I}XD{Tfdt`o#TsWGt$)-6TF@n`Skm!0_DXd$WeZm54EfkPB>>w zJm}&kkfpjn5u{DL6%JPXG zGF>!b)er0z_2ki))?&9kG9TQ*x_P8;M^N>l+l9nTt#Bi!M?s8)#J2CAb4|??^631Uy z#Nvc=an4x;rt)tGu-%xv-cnT<@#jh-$(IbB?BMQ5t42)~0Crjx(+?+I4gvAt!uh2K}^lejxKF{zXmDOqpqmYq9)H&s? z-{mdXd!Q}_eSZMtY&-4x{;$WYbE51Q5%d9!?|d)fwX*s>368@+FbTA;SmK>BMk6ag z;4>Z?f#Nh@z|WPqkcip&EkPh-%9QHgAMipE#p5W;5KSz_IWGn6#s!vq+55Aj43x*5 zObS?jZ`$SjX&e@ubY_CVMNf&uJ86;R(~!oK>5M!SYoLl z+928=+jB#4v!1!An^O!JgLxra)h9wy*K}O{yA-vc52`<`cwdVuM~EV|<(-W+EGa$M zFNf8>9=VFcIRXYq2R45s0B)>|y8M63NcWjIpl>EH;~zsMdt^pqOkQ%4`@(*as`2LO zTIlBOs}oAX34T(BoO+6=wE}dUTE<`>R&UQ#m*UE6NPc!k_J^ zbg4qlv`RITRJjxK3;%)uq$X8bt4UbAC?O0mHOXerBH_eFyJ##ctW2)L0lp1O0Kz_{ zW(32aPOei%!mexS+_o&;rejC5M2)&^t#zbv23;UtmYSy2OR5|UnHh>M8F9ouP0#gC-_bMH7YDD3d~0WhpRX3AfE8({ZIZ z8uw!31+`2)=bch(CsmFQgKbmYk0_6Y%jv>B*+GHPsRH{|8>` zfH@Q6Zjew{4i=*1>`I@CFL&aptA9qUKCa~&VGsTL+12y2npSdE@n1-k*vXTa&YVIX zBGTEpk%;7@dQ8-^IIqN*D0U&*4YK&(J^PnWO0Mc;2S4$lZ0S?)ry7(v6k6E`e{Yz= zNzsg<=LOM|fb7A(e zbKQnK7}#~MKqV9Y;mDU!jeaTaXr-%6&SU$y%wEr6KFFk$TtlhiL>MKz6UahiRjTD+_Y^y%fNfPA9=n!yEkiKzFN=?UB! zuRfHg$UUPkw52VyJ>nIQDZa;Kbfa)NY-ZZj&@X9U*c3S{4Tm-x3Wmuf+_x8ybVxt< zr%Q{cMeS7;EtRBiPf_BWdg>^BArvoqwVvl&>gqT#Vk|~VxodNV89MSCpNVcuSK@{4 zqt_HLd&4e|)_?2ZhkZN4{+eJn8@WTH=%QeWv8OK-GwU)fEmWjM^^+0B&^NScpJ2E9 zEm$n|q>UhJ?$BVaS=4hq)9$DkgMFSS$7`W?^xWMc)W>XGGUWe8diqD3oz3c>bV8q) zLE5>%#?+sHGN~sF1X-SGvl)gl^|vlLB&9g7fI6usEb#T7{7^}#`0%kY=ti$P=+I5R zTf`rB@42bgbYrG(vTtc&W>0p5@`RUvza00N5Fu|@0x*i0ej7|Z*;g|Ke0!7=b{wO3 zTzFXiivpaj6NSu&gvWmTNwkYYOt9b=nbhg?Qpj(T#rT@ae z6d0-J`Sg|f6Hg@+&rDHbf~!ig7G`e|92Z|zQcrXUboW7WM6HkqyBLxF#f4#|d5ymQ z!ii^s97|1e&1}n*nW$+SMBmDEhd63D?WL`yk zyCbC@Ev~HhmUsf>G$O<>u67ObGJdtWI4XnQ+QU^R?TVEpHG;bZkYwwsRJo}TihkNr z5;}e%9!9o@?5fE@=Bp+IpP?}2=-2GRM|fh`6569c(9 zqB%WvTjX_JA0OCj$y0}1lppS3ktSwtTIeN)^plmP@d9PEt5o7J0`3a4RkQeoCb^T^kPf9C z3sx_8q11r{!c1wUK$kRTRx7=O2Dwvo7$zTGG^5RZpITx_9f`+b}0*V>73>H$&%e{pF%0Rq;X5Fxxb?hiA_=Dqa3z|DyibU^->2x zVVsn;ZBp&DNgeW{z~rtP`>k)Q3J3&p8&-+>->3En%9PiQZ}-;XsP(_+C(e&c#JzJ# zBUf$K#!Ir#F)4eM6Nj_ay2OVlA8~bj+wP*FL=G5w)Dd;w9MsA0IT5n8zg{&)y~PrT zH#uzgEpwZsZq>-|Gr}0FZ4;SCV{6nK+hJXdH04JbBc8EJP}4G25yBh1Ul=VSZ?XdK zqF+&iz%u3R`O=rippiZV)&BBL&l)_S-C`+*48IRUp-cNFC}lc(h+-0<-u`Y-_su|d z^{*7BzMUY47f>f(936=K4MOIVVzcP$H3xrDdFahKVVB*}Ay|%-$6pY?Znyk!2gzR@ zkIO>_R7mhyv?AL~UKksTbrKohSbHVc?s<#AI6j=XKN6aZC|}IXnT<}0FWe4A-ptG_ z$Z$aGJy&D3`&9)AE66U)4?YPJQg9Cj4%m6U5I!gdfaCV_n3fTQjmBG-ZRxx>uYcM$ z3X*AA__t-{Z+{rV7;-_|Swcm|gPa8+ffpQTiz!;;EvHs};kf$cuz-ItWp1S&&;Xh! zB5T)1Q_XgiNaX0Kl+7P-Nfi$_9)LG=KJbO(i)(+uF$JSfivUD(&R-Gm)(_O_pZvHuxs z4>7%;fG$W^9Tw^!`zL}+GQ#3GvvvOfk8(ecc0UgbT=`^Za~E{^?*XekFiAH@1q?@f zn!TJXtnyj3ax=snUZ_)75J{%JoII@Z9W<&S5XGEaM1`WCY-;_|DSI*K`|O?vExg?2 zwmHm)Y6px^XL2Ca>HuCgILk=5ibiPaD?lu%9oX}b!-Gy)LiS+EEnCO6X{Ni+*Te(O z(Ra|ZiU;b89pzuF`hu}s@`r(c(`=$43N#+k5_|pIinoreR6XCDJ)g)oeIakNMt!!^ zqBKjw+OuSj&_3G4Jgcj!mOogH@wOq2qAFEA|37@ab8secw=JAZJek;bGO=yjwr$%_ ztch*gwr$&(*m*M1&HLSR>$`QU&iSvqx~i-3tKPfUT6-;~gwfJKOP^~duT$o7uk^0V zv@Khx0&?W>L+OC*LaU_-^EuWSe(gT5nAE3QRMCEHdE1}tq!O? z+~O9wjlyrdadRtlCXa7BjzDe-_~r^Wb6hKo$iav2eMQnZ|1@fN*p7E)^SfHM5Rfla zKeiZuLmZVX*kvof<+>2|0sEh;a{H^E@1=|Vs^>o&gTGv{M!RUE;YL zw~u?t%Hi)?k*vxqnnZ3)lu&ZX;@AQqn^X2JCrzW<_HmZ1dJH@U?57u-*}A0VnVxDo znSdjndpOHhJyRY7qYq8qbRH|4!roWQJ+m`pmBfon+@esnd*sxqbMccdE*W+%rHLg4 z$-NWC#}6OlkQQL+Mm=LJ*-o39$|j?yrl)2d!`BAYyqOcF7JYb;%~q_tZ9It5$cB?b z;V`3e1V5fR?K47&7g?B4a>A)FLMVw&P{oKsV@^S3+_{7o(T=Yq(W}`xZSxw6 zb$igMX_<|D?Y1j>CN49hFMG=lq}7ukvE#;rr7eQWs^_+d)8$~2MUYz@OugG;=_W}i zb>|FTGlZ%!L5wmS&|`@6?%+OhYjq}a-|epJym8;U%9`As3EA=;SlmYVrg^*mbjo{e zyw+{bq=a1h46O@d6HS44T718wYTdDH^Ufu!nvc!Cu*>u{li|OVa<|Nj4x3fQw@sV% zwxY4Do}6yui}g(QqefP&y>hzk18&V3xiptKXV2m8_p$M%=Gl(1>(+l4w_160-7q(o zi_wkEe%#7C1z=XxcbC~`>-oO%`1XtW&ByL9DnFLS^Z3GrAN}Qqm+9=-0=(Lh%I~_D zDK<|O@#*sje(@!g`n!m*RZ>^OXwlry#`AnEAgwErI#J1goiKFRJmj|c{6knQ{c>8{ zTtc5LO()U~CNxI}p9h7w(uk2`A)4SH8I4&B+MEk%ox;8^?H;)Irl3JsGvqXDzZG{A zOyZ;yslp)$69wW~Rm%GAs31ql6ibC|m$0>c=zULca6#0=|0LFkd9o0qW}B888|Cpi z-e|qDUefaq9Ba~GqD|>E|2IRd3`(y|Ez)dDWwx76T{=WHsrAtWtv^y|mw88=nXJc_ ziJQrNd7* zl$#ZDW7AFN??i8Ce4Th-@BWibtDY$HQnviAV+8gUKrIY{pM}g_Wf%#zXtZj|voZR% z-qE#oBXfK`5Ff^V;_B%*xBrOh$k#?kAIp)YTXF8d*Y&;0owY5RwbRu$Zg^Rbz$+>_ zqs8c`lKymve>qHdwidHlH~MRa30h?z%_wHi6qM(THAar}!l$=;=Aw$sdqbxoI2Mc> z_OE>ptE&1B(1TnnZA4-K2viDw#FEZHcDy+NDIJ-+t&b$Z4d6|0Xg)-vy6d`2rel5Y zk2)STkL8y;{xW_0^#|kB=DA*)!=~W_KGXie$SBl*;HWZ?IFQVfk(0z>0$Cvn{QUml z87puxML;*cZ>7tlotD7~21eSzJYIl@UXPW;VFa~oNH+z%6vK-u0po>Z-%bh5yw%%5 zize=97zg(R+dD-=#*2gg+TFvNR`f29H5wsv5Lh;7SAXTy;kT8qXRe%^o36X9FwKcB z0;i=ArB^iot#*jNv@f}IXl>CqJ1>D|QQ)c}{8pEOY?0qtpLpHx`dFuXexwQQHB?i4 z&+aFjKY*$Up$Zq_9`&*o)jXm1_$i_118yJ>BFSTW4aWx{G-eD^AQToweBWH40gM}9wq4mS^I&^N^4gMc9z8NGGn38D1avh$x`{!FR- z5LSPqsCl-()o%RI?)(^Cx4m!Qh|;-|Qul7J^o^<9KC`kf#oH>ubMsEE^zA46a#DX| zRDbiWaoaJyajtn*kJ>nXg!@PC3#R=1az-6P8{r<28V*{oEHacitmi0z zi#|FedH2Tys{1#%E@FbjJ_RuU8xTguu;f&YWPC^JMWQbh>kvD#Kzbdb0OgVpV8?)o zfQ=ohg&RV^9zq}+l4lrd<~XqW>Bt)dv=i{zrsxqs*&T_vDH+1hJ-8sb+m34+5cBaS z>4`_uHw(X!8G0c+piKcrOZf~D^1&nLGDpB#4V`iv2xH#CL;``rK5j&G1xN&g5t9Z( zXru>PXpTgoz?c9aRuC{SxD6T%%2cLxUI7?IMpAgzN#UgM9F zIv)Xhvm$5Pvy*-^dQw^QI%d|RkWpK6f>ZFyK*cwFW5E~rziDFU{CRVkZx;Ac@PFcC z(Ef{$5f>IAB{j6OwTLK81hyqs%J zYpkzlGAoCY7la~iEv)wPWU9a=6QU=HKm*!E_oFkmYUM2A^(L8!iPP?Wri3H*&%WY& zaYM;a5EsPmo#p~oq~aYnr4Z6)>YAnHIlV>40RPg>0c3AWU{xwJmNVeA`k0k&790(%L!B`eCj>~=&Ip#r^NxCWKW#958t|g~(NxrEqb%+z1F25mg(8QEFc# z?jX}S=juE+;?czE%Sf+(z)7wHNo6Ea*NKjI1x?}}pb7U#0idtOo9jo%Q2%IC5~_^a za7V)4rv9-eQns7OLZghsmo^W%fEApVG~6I8t>s2VXNa_sz6~0LO55G;J-iCo6AmK0 z8aH)yHtLMeoRbxjZ8B!hw~I5gu$*>jsJTnA5Fd~YC^E&=T9~J8bnctCah;kw0OYR& zFJPa#F?9Mj`-3~p$%Xy@b z=%MqHc`v!#W~mGWqtXK-K+x-sj#i!_94MvTXC$E*a?z+L zz8MGW%Ie5Em<70&jP?8&eap>rK_U4i;X31%x5G%`jVDJKcqgLE5zrw0X_M$+fa?6Z zO1hdjYZd^dvBOsprR zP{Go^Fd5VaM^8Z$3onC__)--vt#BKOXg5d=(-bwQpyn?cp?=6p#IgrJFkk`ESn+o! zd^JQNZq*wwVJ4#J)i-strs7Q^C^yA(MAStYKIp-mPkHXR%d|taZ^o{=gO7d-j}>JN z0$e8`Zc?AF1!Uk$mj`DQ##UB&!AG`bD%4`jtz+#!-yh|eN{yJ)equ#dH4gJCH%aBo zvqlqb&mSP~1iysd+I7*>?0o=6l(aXpm_3!M_t-xKA^MO83M|mP|LOiy6+d1efZu1} zQ+lG?rh%xO=^({*r3V@tf)c0;{Ic+qv>6e3ku&OH*9osp9US<2vLVQ4z*@ITP)hZ8l2f|0P--L5@I`D(9 zHV;x<$Rl8TrRyhnPb!0jy;v&X!45)%Nb#<9JCy)%5{{Uk6iZ3g@>u)y*kpyH%H3o&n}hM>I)gbjtvHA}SvKm4i*`(k^J zq(0ba_S}C4ZB0r@OjHX_u%jm!NZ-Nae?#x*0yg-li;>Plaar5_6{deR z1`0z{xF~OCNXJ>a?TbiiNG@Y4+Gfv(4DS8mGpvxCS%Gf2*mql2Tg)h2*9>AY$jI0> zFI%VC+!@TADl)7$okSx8gjQu`FR@)rrEO_LCo9CdKhTKE}wlR$!jv|n&dA`l0~Ym%)^&#d)(%j{m5!sB!`4vkRZ;Fql*qw2>gg(XOvHD1;mG?Aa*HVy3;Kmb4#r-aA|fLpnY|g@uJFZV%^pm@&=XrM4+G|3Z96V|ov!qTLeTwFbvcYFRx*KTp zxU=b(X}hkM2i6JOnRzP_m0_;=f&WhQ7$>z$J?7C%9<_e(0Be*uh9G2bbX1zt{zN&*d`%F zY(xqs5S$7Gf7V~e1t5ulodjGlUX!ro!8|y?WJDB8(>}zm1w8c2`++E@1tSRbZ8}g~ z4{+bnZK>wPsm_%;5R~3f&z({M5!7x8YWBXTSNOGvzBC}d?vTH3p!XHC28=nvM(+sm zN3uP@MaU>P0Bj$cB&;G=8F3;|_Je*H@6QAbXtLJx`3{5b*gh-L6kl zP$PLuy#Ie{mn5r(L>w3pkl^nI>c3aJ!2e&})qk~Bsox^=RkYAt+Q|f3RPBbqH37ys zMu~1sexP{7^uqWiD{;D;+Uy27vI%!G*LhM3lb&Ufy1k`ZYQw!|<93==`}n8YcCKwz zEj2woYG;48eIOls7Rdd?O6aPCPi2KuiXOFVpHF7) zWAv2E9D}$^BDK(m+#>216EDfFR+raP|}H496Asmfo$Jk5uK zgDyJe-TRoaUbAT#=jvLKp8_jA~D$Pkv_qVpj zQ*4X)Sfv;y(&!|b+N0C+9!ciT_DV?jKj4Ew!o8pVA ztEOkll^UIP3)6(vw#`}(BVK90NOb2G3cTykPw6)b+c2yJ%PQ0r+S!Mzt?0uxKZWRm zj?6gcTsXW3K?bgp;8}HJK(ky}OhX0rER*d;pcT~wqTWqj`T=^y7T}8ON{u$8e!F@J zFmnS4zh}<(pLYG|xJhT-U;KUt+-)E9S|1R-(Ra>%teE@4M3y(QBr~Hv41inf{9X%H z+(mm2DQI?mB1qVj!W#Ap>xs^MRjOZTTM3r*nK{M1t!%~*6({8he=8gQSS)xiK2BBK zC#z!|z{VDKac)+vs_KTLZHKBW$tIJUwOXw;Rl){~>b^mYw%|7JRdov4*A1c)4L~FL zp8UH44gZTn0n8O?)sl&G2JHSIf@c)q5A(#@ckkmIIO|QEg{kJ*A0vsib-JXaVB>tw zQl`$t^&S8kFXaBU9HtNe2VEc?XP9?N6b{7P;N z=}=iufc7#!Qkvkd^NyD1fII#*(lfYZmS_&<%fE^8&L=XB#qpLsage zPi$vf#8=u&js1bV2?fDJVXHe3p8~rrnqgd#DVn+8k}1nDVO$L#Ye0l!+K2G0ko1*N z7{DPKoy&lV%C&O8f*J0rH(%MA)7dUOup zD17I1z&Tbn03ce6w2gCD-Rka`hQuN6?9=y&A_cwR&ZD{x%7oV#&z%);i@S(J`XWMe z&E;7jMew=r*Jcd*e^(hO=;eG6d#-Qkcf)sK`R}U^{{K~VOiXPIJxxs{?JQkLjlO3v z|4aYB_{$hGXu^{3BjA$=-c*q}9*{KV=N&xce#_DqZ1s$KBewNSO!52wr|(=%58L z4XgG}x>w~!JQKxL>Id`Hrd%>{F%vwR;YmTch^mE5x?Y+AX4psvh2LJuGA+@n4W4?s zdHw({tKqT!!s#719&Y+vN*U~HVeobS+$|lFYXyyC^AuW2NEa`h_&|pHx+#~?ouq}( zn@XDX=QcBhmUQ5~4#xeaV_paZJp0kT1g(ni)rS3KL`Fg1VmK^d^FSS?u=Vb@% z)#qm3m|%4(MKD`eCSWUZ|q6{k#UmL_i2I)mdh&yEfO8z=HV?~RQgm>Y5Q z+wVZf9J#%Vfw}wlo{LKiAH_ZcczJ}VOf_99&ym`18di9SNCn*mypSZ zj>?AJ_LmtKJz3c6>zCunDbCX`V7Bw;Y$DHBX*mU`%R?!E1v#eK{!E)9P{h?mMY{a1 z=2;8rZ0-<{B%;wIgUR+j$Ohs=K+;xw@^r5$B{}%NMoBJ!i943SSd#UcSnW zelwC{QpPoFHP8RN;%bfkm355?UO1Y=ds>C@bh^zQA2woQs_r*< zb1D(kXI(p%W%8)zhK8R-Q96Ad)bSQh*sES1Ia4zKS=%;SZ_+*M&L?V~L@ul8%WVp( z2YmW%DAfq`?aGJMcC4>sl;6{ppU3Io-mcZ21PTn@H0WN~TuEGBV_sZ3cBxU3f zRfV;@WUvq{J5u1YrR!21qA4@vrtH?49i(0ixXS;M@K$rfgeBlgB3*Bdkg^~xl+a$d z{i;Gh+6mkeii<`>_neC!WmFA2ABm+(eZhcKeGA`L7CBc{EBZI&s>_AFm07cbDi* zijn2N7}}HS&LG|M|a2N$thEb$s7RBAD?xHiCjF}aAYe2 z$&wZE7AmsEP5Et7RTiAQ7fnj^qxke}M)Zd2MvO+n?O46Cc6-2Z- zxYFjgS{oauH!-2AZ^DfTJ{iPC*B%HWo#7+b6yPezmMjS8z}) zr>^P)yZd~Q>9KP<;4T&0*_45zI^-Tqs7Gq^p&h<+uXG;`dbdUgqC z-(CrCWGAY5DCw%fjht{RJntzdZqzm%$K!#tW^_sE%Tu5Be*ssH&cmN zG(mlIZ;V!YCrz(6tdHb`|B7VT)C`8EO$0W(>#;Yy`}2gm>-J!Ecq$tr-a3=(`?PT( zS0QOkMem7Fz5V=?z~nzhgT4QBfb9I&47M!`-5=hFZe4Ue-Iv4mw_^1h@a*TN@4=Lp zy*_mB?uhVRdJ-%|Y-${rNp}>%fw%2rgjvPE@a(3N?@A<(?%RJu>?4aTdlKvN(%5y> z6sVIUJCZ!(yf=jJjkH=7Ol%z#OR|>0hmrn+*%g@2;vj?M{Z$uwYh`@sNLx`X+TYb? z>V+|`OK7Q`E6%hKRZk&C^3-ClW#$sGbem5X!N=n z0mp3`+OA?@L%rPA-8}R`yn#g;L9xHaV z5sXE)qv$n6ZEA6H(u#Q5G{z-8t~Nd=+i(TmNz+iH)bLNCT^(0whBosr;d`;7hEWo93WPa{S{eQbhYXF?U;bl=Qt$Zl`2EweR7NXs z_c0zqp~N^xA@t8ud=`I`^AZe-=r9Du^xHfPcyidBZWi8Za@Y8lgLEWWdVx&zkL~oa zUyortQ@3@|>d~m9Fu76c5RAfEDlrL?6l~PS=WMJQk|j;TRz5-}oww5T%w1w5H&bO^ z*|Wp$P(+8W>G00y-Fz6k7$V8g>#CfXVdAR1q<3J%2k6sS9DHZ{FyJ_dF$vU(@`En|v zM3z&sTDC}-N#amZCRZsrF^Hovk5h%v842Dkrx#twmHz?49Xx-9WwZsYG4pobank1= zvwuH_DCi;S{7IjjS#ZXvT_RlHh%_o88RCi|cPdF)z`@l4#y-2NUWPY3%7^D?94Wv( zn=419P)aKXN%gz#dl@7$+1>pc67D~lTKkjHWOn4ItDY!Ej2vgBx2QwEFr>B3_K@ao zH4>~QvWj9!Qc?=-Z+{)L2uLLM8S&QZ#b0%~FdWQ&gN4U73i;tQHIK1; zzcSEL0Q@z;S9ao(DQ_G}^5jm-h-AQPiD$~c>)?jFd`dkZP&O9 zXAd;o@*$|eAEfOJZq4o!&)pz1le-cRqFtlkw+O`656md(%JAA!l#F4nJ*mmckUv`5 zQY|^r2+Y`sv^r5!BRO8)%2s~(o0ZX7s>)nikTJX0n3BO4Rbz*&pEg83iUK^a^S+%7 zrnpO{A#WU$bBA>;5%ACU$ZWW*oE*6F{MEm`kK)m#BC!AJbz6q`r!h+W!|(ezOub2U zn8_bjz@V}ROx@JrX)RwMP6M!C5;t4UOPK6$?NM=U{U1n*yTm>DL1mycVN@?2$~g@( zb`|NP`m43J0V3Aj`%MGTn1Nfp-Bm{q^48FnRLiwT>tFfOSCYCu*DpI zvAIgm%6~1I-gy0N2g9(Sw0s*1Q+7`E~vaKPZ%(nU6 z2k1Wgly1kZb9=d(p0IG{8$#}%74`w!3<(MxlgVJxjXDp|v-(#4K^SK3*L)sq&8D7r z$`tK)r0jea8jmtdP9bPOv%zO_E5pR0Sw)3|$R}j_Dvi6PfnjM55S%QFJ@O^2i+rhD zwo~~wGj5AIKlecInat;`7at1h>6lbLc$OxedN zPdC$v*JErgJ(V0b}*BZBSkJl*Wt)ExXJvKbbD^7l>(wbHX+yRY3r9ZLlI6&!Oa}B6? z#z}~|@3I9_R&$2t58F6xk~mhk-Ei5lE_!nhysM6lM;%k^FQ@2nh+aNQS8mu=$c%jx z2!6`nkqCH163|0SiCLW1-=gyC?w$G>KR=K!V!)Nu9;KeXUdWc{LZ|@^M5&KVJR;Y5 z%oE9g0E4ZrDFYuD%^b%OEAecSo6n%92p;Q3ev1Y^aGabzSdR$mTgYse)9E){j-4TC z(~}Q!uKl_brw1G-GlJ07GA6uRB7oMTA$&pE=#xcXc3PPD9AI^Bimej=JQRh#f0zPp z)#C@8k5n7vw!;G{)LtJ>+GwdF#a7Z}Rmb>b?L)c`iN!`aYR&;{00TkauzGagWdH_K z#a%nC`>i9u))gSau!SFMSUDyUXAg7F(Qc5#&Bdwq;KU@lxD5QWXuT1baGL0xzsYEEM zU?TC2f{1&hR9t~U(QigdDXPec=zgAT4PLaufuHm3gt3#nOt6I9>2pZJkOtdZ|g zSj?N2KZ58)1EHa!Nn&hizsG1=zC&{I)fbw1J-+`~Nl;3s7s#4q)idlY7fZZ5*j@Xx zz)ERz-wM6FuA@x=#Thw%XBd{(zNx3(vz=RSv;Mq5?f?=XHBndAB*o0hy=A4wckGzj z&e6~CxeRSasV$zYvTF$F{Y4)0)F|@V+-$B78_H1`G0Z6s0+=xRbe>c4lOd}ajg~ZR zH;)8D^Hp6v;&ZzMaSA0CGUZtbD;|MFWu>sJpWsJ+N~X=$q;;L$QIe?n{m-DRf3W4p z6S&5euwx|fcmpp@D8~C0S&Jto$O?3?68HQ11a{Yh@kZ@xX5938=KR|n=xSm`lolq| z9rzhF3wl(zqp}o>@)?t#OM+gd-~Q=awXal;|9y&2Le=yp%j$Jv5Us`gGg?Hs;Qe-V z7!Tbn(P{RtQ@bX{y1|iWK&h~0e#x(qR(9fF+2%GE#}-+wvH=xZ0UxJqydUZgX8C+Tlf499yG!1o#S79xY98`NO9bjA@mNk4L)*69cs;D;WOi#Url-58Aa(xJIPbt2T+0YD zd1DGnXtP=E{m?IW^~-lynWJ{p>9uF8_OiTehPK9vAb6p~TKPO}a4D3Gl{C$hL%@m* zD-V)g164Xw7)7BZ$4_V|N_4Q4$+h=M-hJO%U)T5E}nU(c(C53*oJr}v- z`JQ2vc)MN-#sRU(egTU;*O4V4nPXNx{WkW@KBEuUVuL;aK$L-fG9q3^9sM(k&^UJE z=s6dqvRq=9VWzmh>CSkAT5_?pXB+|MEJF@|@>a#l2=fb9<7~1V_Ig9{_?Mywzh?|M z7h8buUh$={qKSw#`35PcHWay};Kr8xp@rC_xFlz*lVpQhJr*gcaFfQbrb3ghcK1J8 zw-Row2-(yu53+0{$=PhE`E5BNCsAmM(S1cJ?^gJ*hmYB*T~5 zS$>8zQ2UUhd(1Rvmj%fqC8fib(wonSz|2oA$|i6rrKx=PDA2yi^C~Smg?%6MEZQw6 zF+bv{ID|3BF7x)=xhjpY=@B0{l&L)GvAT(Kk@_Eg8Twa!raf`{+0j{WghfrSkw`5& zGl-wHY1P*3F$wk_*B#L4OL4+$Q)Gp+D&THLV9q+k?#i6E1*Njc7{?Pf8qMoa;6_}T zP*shb@4M&>v%<|Dq6y9V)BJ*1g}G8RTVGLHkNjs$#3dI#g3bEW5=uU%=nw8-QEcsjHTKT%>So3*T&**X zTA}{xqUmJixv<_y4;rLH*j)cajc*PbkY+YHJE49=lpfF4fn=%Oa!u}LpBsCp&)v1_ z1m)|ah5ViH*L`1sr`|!Vzba5iyfsjDhC_XM;>{|i4_a70mPh~T(HI(npS(x}!i}GGo4Z}~-l(`v~f0zm+`~}lX3|@N4L(ydVD=L>BqhTu@ z;~N-*<{q7bIKed1UAIYh*0ggCMWeQMyeR1WXl%P+8Y#ik`g&=!RO<#c6*PI3-`MYc8s@(r|5&}K=PU0(c<>uyw;o; zdn3In0z*R-di#TAap0p|KVEg;$Ic=r+BuSN=MV1+r@0w88e0R_o`?bR$V>-zN9)en zjV%}A(^pjSM3{Dk-%BK73txL`oAb01U5eCpyP}B50T_qAFAS)?akE40w{9M6pCG%C zYiC?DFX?3g2(+c@Uzpxk3;N9QC(cp(u z&nPQG+i>##gc9rrORaEIX{sL}+*%9Zs^LDyfp{SWK;qFV%tPKuDIkeabj$_wdpeE* znFDkQ(v9(!^wZfqI5s zALp)#tIunQyu{K#_Wuw*i5v*+x!=*IrwIR3k^heY)PK@ZzYSL8U0wd)Dz9OwjkAj8 z7gsb-=qUg@B4)raK#cBWq&XlRtkarM7hz=x_5=5F>6%dYHf=r{GbSsc#d2YIJF(+$ z2A$<1o8<EJrxdYl-zm1`ow18^VIxFJ-=z%fdm%L!x$qSri+G(ypC6j6WfREGNK?87Zd! zbdL76u;7?OVF=;P_ltPB^00U|&04}j2R0iSObIIUCE(F{J4oF@K$O~wcyO(F9s@l2 z{0!_PpMfC(_MZoV^#`7%*0f%+UcogcJS>8~yaUnvY1s5dhYO#mp8o(dzb-nY+_q0r zEdK#=GFd4Wj<``>+AsTI5`1_I9U~QMc@ZzJeCX=4a^0t)gQnS8543TRYzE>fCKBh1 z4qsi~$IWxkAJ@4sipLApM@KHfRDH#+i+ng|5=73*SMy0v)sNB8GiEK!Bmhx+>tx9F zVT2k^1ABIzro!}QEY5PhMW|C3#2wJOao0#eOUNd; z{}fP~onZzlfqQ?qfrbRnod$z~L4#d2Nie+Y=(Jgc9)pE(K@uDRpmsP3b5S5)PvC}m z8{H4sVM->do`GmHlrpqgtiQGqk;rj9ML3$Kz8&MH8yWaexpxAg`#m!*a)}-Rjog+K z>7fPHq+%GPJQ1Z^n8S)eOPB@W#>oFF@`w4+uOr}bQ|I(qd0*>g61y~$7h*($4glumTlr4I!BUuoW+}~iwUXtD$O28W4wmn<>jF-Ek9QYmJ{&hXx@`kbW=C>IazRWxr*hON zw8Xb)y1K4%93mtXaL4n5A)jZPaKs6|9a5rwTykBGD0Ao!){{z$lJ9#y$*i_;mWmG% z@rh;lV_Rt0KGETTuMA#(mqbkGmY2KwfH?a=v+vOMnl{b7yfM|z81oAMc&FEZ%d;7% zxAU-a@H78Ss!sm`H;*eKbecqAkL5$RNwrO5i-E!Ew0OWUYi!!I@ zk6SgF$odFF_DEvR@X1Y2jJ4{th1jD!hiWHnM%_p$I0T=bzu|wg{KG`tAc0>*0}hML ziOEsY5T23g_YG6xF1kZ;(7Fv)Y?nxOta1vOliz8#hWLy}Cg~QOM6!^UnySu`rp!qBU?e(pIQ#QCk!yekAIrz>{rR=gry4b*0H ztFKb2ENktf!1GNcs4hp~K_mH-x23pj(`1I~5O+QM|2BhdjVr(G1Xkks_j}`0e2U$c zcg7Lz`*t0M;o*RbYtb_VQB+!73Kh2vMRU#=XNf&_Rnd)w4y-m$&ty@as+S%q*7#TlLzkKg(=jDtnFb}zvzQgBw(FRg2630FNAqx(IxP0tuuj5CX=*BIDlNJwpL8pd zdniAls{5wE;9pEkSX30$N4Hd=aGfLgfExw}&yv5tYeM$T5~!`T);?dg_E3I4{vZtG zKnile5I_Y#c#iMH^uh>$dja97o|dy&`NbiCIOy~Fil@e`2iQ)46TdbZx;wCa!*LM5 zKDgaH^9h(2{fd7J_w$Ij*#b2f^#vie`HT+N0FzM%o4RF_(YMAl#4)RacP@ZuUO^vO zL@w!Njc$W;u7H1V!8=jW#S6mqlgmhXJE z{>8Ifk#2JoTpThwOc51(5Sm13BAaY>)#awMNSjcxIxaa3T5+6|#z`+b*3fh57Wf72 zqsV@ft|@5UQ1I^9-%xYSbuq6w4FihJp?Ipnb=;Z=LA?a?eCcLlHd$94H5E~vVk!U2 zw9!tjP`l18-tnoZ$xf@=;XPCBrRK#svTv3CgKZLm6eE)3z?9aUYNuQLbiE1|xlnxW z=&I2v$aI`5DGGMOI;Ga}ydXw(N$Hd$Hg%Kp1FO1ub=Daj5&ho61{u)Vfk6;Ht;NQ*Ly1I)T|KO8+_yTnYJ@gSW%GW^^wRiJCy<5An7|!6yv?obF^{6 zY&JZ}?Ic@QZ6n&MOQnvu9S|n<)BtkYpq{7x?f-GxsFd@PSM>ncJF3~RU9tLU&WvS} zMae%&hV*6{^ze>LLFu1?y5JX^yvj)x5}kLLwIPD78R#dtGIq&AF*{}!6C5O~>x$c% zN|pW-mvJ`k!s947YZ6qjF@Agv3c^=cI%J~kT8kqamJ`z?DGEIME0GDi78Rgkoi@d- zxNcvFgUYGuO9!(sTFi>y9pvyA+ori%W+W@zs!I$}Qmt}%yqm4>ScizCqH;!PuuJds zKqzJx^Zu@cVO}gx^AqX&fzXRBNW{rw4wjh9U?*5YvF=b=Fx%7$QM45#KudQ?-;vQz z6bP)t9JtQ5kQ>a^T_H^}A9C3rW)P}BJpYMvhvu44_pshkEUnkWTj3_@a}7f2u$qFE zHILfInt0n5q&wwJrXa~3JPRef8-^-b>gHO-`2F$uCk_F?NgMRX0M_rmKS|tQrs3Kl zf96gY^v4$Q{#!6OP(s$nei)>I_r~O!-X7g`yl`5kT&LV@-o;Uu zj>=&;VU|vn3TQ6813@klP`veKtAU-fNp?F0Fmnp@`SaGMU)Mri?_l(FTGl29poeUa zf&w>EnbW&#NaE?4QV;rHe0^n5o6j3e}z9s+Lbdp=&1eIQ{<$Cplot&)lgi7Dv9DBAFTsBc*UwPz_qF29IkB`;S z@Ok9TjG;R|sEm)f(B73C8AaeeiS6%U&5sDY>0$vm`e1!QL?Obf+vnB?vb zSpFD}!MbSyjBh^7TFaGC`;?GH-W+c{14WaPR-N?z{iN-Rk1W3#AYkp>0&M&%QBjZ5 z6sL|PGuXgBru-?j_PYV-020d3FE68dBq5W~e&ivKByn1V7snHL*#-Cg2w-gqHSd))Dh?4@s(?W~g#$7oV z4WmPGiL#mv22i2f_&9#%wV3Hfvx#Xyt5wq{z%BDy{Iq*7I58>bcbI{xTeu#3%ia8- zdpKDp$tw97cu(6GZvp%1+aYPr9#59M_5$Z7vxxWn<9?BkUG~cK6-Vap9{GbRLeIdg zLi`UG4MN*`r(3vZ;J{9f;dikgF8m|~GuAo)hY~rkp{9W5bpvdl$yT#i*T>JixE8ml zNH<}y$zkJf)f8l+(@%W=RIk{sluOx^!KM| z`6}wGR67c6++0q;#F17W0h|KUv^YNb1><~upQLs$O}Kwpa`gB`rh?b<|E$P*1VqM9 zZg!iu!tPDxKXVP)ST7SmD|}z{`50}8G-35-Yv)Wm9dhAnHP4>{Qk=t48xsg3=Nmx3 zCuvX;IZlec(C!Pau|*`Yw;I$lkiCC*kEcf9@I#|p+t4w;Okx=|polnXUO9xobDwHO zD(cN?^9SmF4YFx&PXdfBj{WTHISIR%>*+ykW2F#>i;K6l`z+f~qxMRsopBXFZw1rN z1T{S+%Ov(?QVRSlQFlaK7ytE(&J*76yWn4cxSjXi&i2tL_BCohBK%~#FA(#^4(}G0 zy3|pK#89N8&SKh^{djrCl3%V{Vv6{qOoveCJn@61LHH};f72@hCG!tN@N-1QAOC;T zEBM^&|D9g_-<6u~z;|EBM($q(jck0>SWiK}z1`dBtqNj2?OW*uCv;kYYbiOK`>CEO zYU!$tFjZuG90>>f7xcWsln+68e-hMdY1}IVzQRL3}5F@ zVtG6uJ)J$KKwmk??LG2&|kM1KfMsW6s*uiTUEgT|f2#3@V z1XcCS57z0Qu>TjDhGW06!C`F?J5CMfjqp;B@aQ8oM&HN`PqXOkEOh#sDwaIwcC9n8 zuK%(+cHPz%X0vQ`N?aOPmnPrxmzUs)3r{?!;b)UdK+g}c(dP4(bw>R=gV?|n`k<;U zhh1Ct3uyhYOABG9H@59Aa|7H21a3MoAD-Pah%4^W!1-U=)PKSN|H*OK9V2)YhKG9R z;k9#VfoH}O1Pxfa{0DP_7ZnA<)pW5x6^-;x!4c<8@N~F{rF>>Zw%G*Q6%;Lv-jtDT99xdKY)aUsWu!q!*xp#84|l*> z=ncly6eG+A(Bv_Ojk9pSDWz>Hmi+JDQ7p%_Lqs~|ORMC1&Qzl^ zd*2r+Azq6Wf)?LE;n*y%r-SJH3k;l`KP;4eAe*UDudJ-B#^EMLz)h-t`r0IRo_a5r zU~^IUMvJ@W+GQkK6)$hql*bniJ(BZ(T$(nI4~IF6`ras7+}r0it$z|`p~eRJBWlEb z-lL;gRix>E;_OIA${KQvn+P8#eIpA*kaa$u)l}sPSUla$XW@$L-Te6bxoe1?UFu{o z(sx>FWbm@1x~SAvRRW42=3)Dg&X>NWc4rM+wVni9$QmH*{A)T&wm@4RgASpts@9Lr1!mm#U+xEg z^*{Yy^!AC%+%le7v9)o8o*Qt(`s>qjlpgiXelH~8z)OD9ZH|=7h_@|M#<>IupH<6W z#*Bh+D5%?v9oK|T`b)awWjBOP-bF%e32*CvzYWq;2@JA=2+KfaQ$iiell*_j_x48U zSE{%$Z4wX(*!nz{uc2J&_=55oQ;Eer=igTLnUz<}V6#=HeM>YmZuc1}ti~cIz3O_J z`p2Gi#_YiMCwbSb1=6g3RVQDGvvaADY9#+r;4OE?Z!_Rs>Lk58?@rC_PK(Q!R& zm*7P-7CU}3NsJ|Rg8xphx}ZU*&*^0n6z0!LV)<9a-i&fxy}QP1wa6MkKN-`SVSn50 zo}tm}MSt40(YF7u)((o}q0!t^Y1)+dH|O_SYsG$lNdgvxUBscI`a-GbeHWuUJdG|K7E}CzsYXX{VvQFV$mZ9gxF#fjL z$7?uv9X2@kRI_MktM93ho9q|=vr>-G>6mO;WV3I4e{p@NT-m0%&`2N)>>?^~e{)N< zPm?iF_d`wkg~L$%ZGo=13F&0>L&E{za-B%M!)PXt(#t~n%xd;dOL2PhtdPq3O^=1@ zVnA+Fvmb`LPiN6oOD^_Vb;Zi0m*%wGLalZ1UM8YLZ@4ktnSo>TO7zfT+e2o9QRLji zx-hU!_biUpV{n{iT5VgiA9I?$afxw7SLG3hfw#@>UvqMk-`6?LJL)%gPb^ea(#(a3}nvgZ;`x`c~U|cGGm`UCS}(;u1;e@-t*Z zZ)x&Hp|ktPiWV=MJe0lcg;#ExAz&!kyXQyE?A%>D+pE;2h^DH02P`pX#m70bg>}3= zfR6Gd4oQE_c$swnIg3>gc5dt!Wg=kZ0CE%RGko1@5({)B=Kb6jwePb*;Adj9RPm0% z%lX35s2r9%CsY(dTyxU~L1})v%9*_XzC7E?u~U}z8jx{Pz}4t#Wd1v88+YZgw7p_ki zdBkog2j>dL%?1B}JXsw=&3##Pwkhs(E3?hXSx|+cpzkur-)!_aPFK~*);;Y;&TiQw z{J+Lw$o@SwN~%(YJZrJ;89J?l#0`4OKbfD}rUB?=|Hit}N1JttErOo4L=IQR(m^+M zBfCXz;4AoeA=jb%Qqs3m*oHApvG8EA&e8m0u2n)Q__u3yQz57{C1|dG)~v(sZt|ot z9l%yW-0lURIJgFxM&cIf3=I@UK>@=)92 z?uW432&>XO^-va;CLZ47jKl&cpckJvjXU#Cna%WV`qgwzDy(_tFZ6`{kLY;MW~z`W zi7@ET`sMveke=)1og0+8*F^=TvV3w9T5Y^rFkD)f&%%;}VcV#s@U$8U+JmGZ| z>Q%B+ZYux4yQ%AI*O#Q1x6@T5ahnYPv*tv&U&;3$X1>o7()+IQws`M|*?aFkr7fo0 z%z5{!I`ozFF`jk<*B|C0h1iXnU+P?qsB*+OG3ihF7J}_>$PQomgZ6sst`;A)d%R6f zCs%*1=|+3VmFU^Kolg?w)ja1XAhSC^ztI0hjg%Yx-ua{=@>CO=8#6O=ONKy)3{Xya z148}6PCn4C->0)RpkCM4AX?8hw~c==gF}TBDza=(+uq8vltU}QfM_Zrn}mk}Iy^g} z>O=^(Q?b>l7o0nFAv8w~qxNPN7{JlDE?@cenG9Yk#yqZg@4?*T+#8`02Pm^qEku*$ zR7gKh({DG0N~wZt&W5PGh_l~%?{j~({#>}+ZEmOcCqcs0>${J??G;aOd57p+s@9LS zj^a9{5H!?Lx=8e-uWz(Ihp|n4vQkYDUm~8oKyyV5!|~*E)A9K(G?${ay|vZR)m>KB z#4I1ej0$w9e4~X^VnBtOY0ik~qeCZ;A1Kp_89GO9=Y-b!?n4P_^pDU49^~ShzCT3b z3hWuvtkP5{Ii#IPHCQGps60ifI3**Y%8OV^C{M&_Rmq;E@jV16-^E_mym+#Z(_^~9w_W7zw&GEFOrhyRj^DUm z%q<1--i-^9O5W){sa!oTnDFG_V9^=fS7(62z2-5+!ciF8TP-268S|*4w6022UCL0d zeyuxw0^6P3?lj|ti7S}M$?W)>A@oWimJ!9pRPI`@gk*vAYY+KLZLT@fYEEk68}`J8 z`w!*&U0A2(9tQ*8%pdkynt07t&(05-b1WNV#BS6Bh{LE;YbN;PokB~uc?5IA%yVDd z$~l)vPf+)N+<5=vzq$2#+#r0|*fIU0B3tS%qiZCF>Nj3|Ok3)o&n70P{y6)}`I>2LKGr|xj`NcSS;}j=s6{8%W88@ap;b9j>8CBO zF{ZeDKZ*z)I%*swpgMs_SA_C$>M7;c~nDSnPs* za)|EvP6V;gG}zxX4}nFRO8}vSg$Nz>FWVt@SI(vI(||gK)93Ut^9M|g-ZPM zznQmB-{=|$S?6JL4k~ecdH)g@a=b-B=d;Lyp+}7P1Go8wjBSYelLq2j(=>~>`^il! zIB})P)mS*w-}^+{Ip@0Ri0oWUH{l!Y*!y!(8D!`a9H=WGd(iJnW77n?E~t^~;Ywcv z$531by5RfDNK)Pe%YWCWfnfi(DrR@{(WN+eB_h5YkiWdZM#f8hd&r8jtAz|Aut#`_ z?srOF{7CbZiy5IVbN8Bt9xU05YDSw>V~iL;YJq3XRO_Hc@Z$wj+wv<8Li&5d?1nA|JZH|0!ANIky*-DCW(ddm5&@@ZF<0$Pl(z?8f zwj;gq!rP%=Y7?U0LBwfM-*FrEVZL!vnrKs(IbHHWxG<3m?1EPXS3~edR5^gP;=C3d z5G&g(e6nKc9fh`#4{b%~^J@tFgoTKj}?;W*S#DrM-V3 zzl=`s=25cijIK-+136VE+9YJe7X!vXz5Gl62$}B*Vh+%!eL`VfF%PC`DK61bwetbk z4!uViZyL^A0u4{#L2 zA^&|N@P?-vLfj?tpRTQ`W2ZMjeR(fRxaZVmurK^b-w-crZOOykiaWp8z5P}q5^L$- z;eX9|E&m^rwCGKs82wHbjbHN0#+&i_F^TnI>6a+&nYU@6g~pe_g2}1g-+CUTguq@WLo{dYt2P5`nMr zRE+m~Hoa;xJAeJ2!!S3>ul`jC5k?)nGp#dE8mJTEb+n&}pc%UI!}^LDzhnTI?$8G= zeCdgijAS^fE`b@-@MLwHj!{YTdQoJjG}S2pBd`4EzhWXBedeM66DE~RJbm1P$sU6D~-~_GlPa-o3GZOWdmU=deO3BTAW~PLFr|L&;1;h0yHBEX)5v zub!52ZDW;%{)5h`<`I_d8)tIzDEaneOGdsw>ZakhEg09n z`VUKe)Gf^a2Qj%~?>{5L6;}^Sxfrsg`72e#z=NV0BTWwu+^o04=}7_g9b7^zFA#kf zDXJmW1BOr(O^j+8pdn72N)aQMB=LKPy?4;}#NPAWJ|%P-pOt{Z)~hOk-jns;@^8lL zGxBdH>J#%%(=EhBH&}-d{9487({KyM$-ui*H$vR>1zGnr9=KuASmf)Mk+Pu#)5W~n zYhlRHc)&(#RAd-Lnc5 zpodUm)XfGfj zPa97mzEOMm`awDB(NX>Pxt-&!-aEf%_LtJ_nX?{54`j*6zMd6JY1fC>dA;`=F{*!^ zzINny42(Rb-{*y8k>bR~vNISCDLqITKEqPaiJ{XhR4@W$WoxXkm-u&(OL$S<6v%LE>uXfA1+T40bY_)LS# zqmI;6Ba~Vw3&iV8oWY)>W?aHY;mR$a^$w?8ZvVj;Y2hTUE$2u=KB51!gI&u<`$pd55qqA(rz@Dh*-tQUu5b5I zp&sjW(M-j#zhT3lXS<^$jLo#V$_wo;0LUl%%xOGVwlGc(m~Uh^jdCqt)ojpPKo(|k zrk*jB7g~in=ZBn?t|v!6&^^<=8Ja? z==!ohc~JUH%Ynjk$!dQTM&vW(eE~NQqFmvX88>yH?693u@&$BK{ zx=@07l&_*}X=73;sJUca!h!yth>h#Dp|vC`>mw5e?iEt(hkb_Xz`z*IEKG`YFYeiT zGQ?(G8}5oeoN5VlySd-eUFe^_r5Qu9tEa@w#Bk89Vg7f6D402g=DKb}geux zSG8~(!X?TQZhH~*_!f{IgoSu;;H22P>tnc+HWaG!BBbuk^AqBK2fkJDA}IrhY50m45K z4>L+dKq*Q#zu`mkOTv!Gxbyz(lQra@;aLD!6LLLHUp5nF*k23mn zO}1L;S0ADIb%RLhRE6%U*U|O*Hk>5^8BCeaS?JQmU9qc*;qzOv#&8$X^Y{~6 zcS{YNaOx1*%-ZcBj6fb_^jVtpG)5ipp{8Yn@O303;kSQe3Q(tjx2V6nBnM8;wTW;7 zqvg~>=sXiZVmK_;w>FdBIbmx-_@8ebVJPRBFg%M6=(gnAnKqYy2Kw5(6KBwowdY2Y z;=ky6DVy`c>VK@6qNRfhkGM;=^6MGqbn}c-X;3kldkPJ%$8z-IqAN1dD_-GQZa90T z^)1@uExbS3Y7?of$_@Kv{@4ZY<5@tUVoDvR>RgXS=vKKjvCX1mdn%4K&r;n>@<8f(v zs5`c(!^;wN0!lGrPovXzR^qmOt^(}heMN7z4pMvjgW}&T3R)sJIc>ie zfZPuD2Tf7<44ki>UynZpiXsSynOm+no}X>1yp@{--in`KbKGjZ{{TEf(O<(k(EqWv zWwgfZikUS?cyyR1^uE{Cjctrp0;p>9Baw-VN4c?ScIT{#H2|*4`j+zK8;AKPg8}1C z^t}m(`ZbC;-)W#R!%L60k(s0^X1g+)aW2b{(7{{6_IB!9Iuz7}X`k*hiG+SEMi*xd zf%sWkH`;di26sHMNK%Nob2I7I_d#UXC*O)gA9%*aEsGeAy|pvZ4OE9qP%$FZRgbAahm}?&?J4ZyS;Rwqnb*tAYb7E!58l(*uM5t50$7qdEKkFBZCEmM zAcG|`GGaK(lg4OXnN{Y_`RI%?ef#KvQg=6vPsnwM@p~Ie7lxg)+){LQRkLyiZGT-Y2 zSM&9@+H4E^z8Pbc^p!WNEY`;8Mmy}brg9D&N;Xq}q8^xYP}Q>aw~czy`?)@`q*W%% zPvWnD$hpEiL}J(?g43=X1--EwqBiEdFRz_Ar#Wq;B|18u0G+)MP z@Vz;pfW@uMwQNLLI6)@&gfw1Y6Z$+n;^k^fl}RX4o9qev?5+P*WNM_v)mDU$ya4rv zXGNKG{T?00p>81zvYYq$jmU!|!P*pSl{(I5W>sF{qL$GA{MnwXF)<;{JwtI5vdKB# zEg$~}9XW?Ve)gX$(E#!0m-ko1K9JZh@S~1nw=B>-hG38%{^Nw~*13)=~8{rKPabR$3UESjKOV_Rzd z!Ma@k2=OnS&^hf5@H^fJYP{&BQU%gDCU@vDz2>09wlxO`gJlV)>a%VG&nN36@}EVI z3KKZ?Xnqj5z{g!3nAmsrpH?Uyuj+*%QJ75*L3Dn?-b2reXut3ZC1GO4ac0b!j~VC@ z0&&eaqlvY0xB)bdsU0p%vp(psUcEvl*bInGG?v1vel?Kh&YFUcAYX@TwnCkZJl=ZR z@R~N7zfb~(!oJsCV!jQLQoQDk#6QL>)PTvN>wScF>0}a%_f`usYL?!wM~RAuLn#)z zBcJtFWJ~t;<=cOHY)dmh?5k-fJXKB|`Lg~N=f%@&k+d{j0K4_!?Evv31ZIA~UC7n~U!rKdK`{YhY_D3(tOE>NHcN6Osu^Ie0{sk)z zpZ;0Kz;=EKHsjZM!{5LDTvg?<4lzo_LT4c@ZMp+b!uXSp$9-e1FaD^~RnSFSr=X^= zdv+FRL(S{>8?LMo$d7M3#PHWi7u2P=8Uf`Bp4Aes)b(RsKad95T19Utmb&NVAg zJN|(uYY#HgvIvJ4s=&IK916njO<169@m13TwE~0n(y)J;kx1w;?8^Fncmi!LIbUsR zuA~^wy7dc}wW!L#(;*9ZWAukDsUD@pLDD@h^N(&;o5OaAg*5TGh3Vt-l&|+jqkL42hOqkf1BpHxq51@o*DA5GxgiBl2jT4$7u zyhII)L&al4Dh$=eHjCU1aZXHrpR=dlkKHpGKMg&lq9UhET*}4*UqB>NyzofbFeEACrl>siUa#UeKXP^H&D$Hl z)XQ|enzZ{qjqwfCb~q!8^@~Z2FE)ZvTdNdor>swW&T83_Qll&p?R-*}=M`-vfMkNO z;W^b(Oum03$B*x|9o4^oxU2j~`ORi6u!Iay4uj7ew}?u_0z=g@xmc?l9la2na?k zt(v1kU?xHYwJ3q{JLH&5$G_ES4H@gDH0lDf*hZ*dgb{;Jij5nq_#^4=f3pt>eVQHF ziMn#~$1Fe{%xEDPFr_Hx|oqu_{$wG7Fv`){R)>SjQezANrwhLl4X(w43?v5vF!T=sbh@lY~ z$A*CZPJF@2l?q=L{&Xj^N)O-E?1ZqwO5~z#0oqhb>J>un;O4?Y;n@Z#l9HK=;doDd z!Jv22>D_XuRm~#HRzbL@p;ojbbc&m(q2*d?y9l@pMPagP{ zPVLkGszt#U?v3?QB9HV22jBCaW7s~=&D1h1UbHkhOk96CJy}sL5yN>uc}K_>d5j2cCUWnHd3br(6(~IZ7SKJ(Ke2WENYQAai$y&`6!Dk@K79@vh~QTfb?0R7{|jq zPhKTh@a$EG=OtuYSbXW-(fUN**C+W=O08>gnuB!~;$Xmh0rfb|!T1=;Gt=H|#VjEo zFc`aIi{`J&%RFcG9qSY4;I)f%kMZb^`i%?iiI;eOUORZ=PUK0{;x*BFJg)>5@ktL! zT=?-1;vQ~Nelz*((k8f+ ziOwzaaTus1@Een)M;*VRcO>h|k_7>)7LH-a7L6D;7+N2InX;P)*e#QT+Sz>U9M_1A zPRJcI5uY3N%|xz73ehKENQQ>SfAl}NL?3ft0LE>wvV)EPnSNL?A%Mm-4g2E5#tZ_0 z^L?caR2(%Sj_cnc>~Tu0bQpsz&Gc>{S)f~Yx-M~st}?-v14zdWL=SZ9O4p?wI$g)a zvuF{@u}T)okuI0+_ep)MW=pCo8ziwHUkjD+*baGve}Ko2{!8W;dM#J&PxL+XTBh2c zF~2fn0~#gXb%)ELV5qPxC2jPS1Pd_y%lI|GcBIdU!7jzxvCuZANbs_XGe1t%RzHMN zfN|;;E(>IwNlW`t1q{XP5nV1U1{y+VSS_0Om< z@njE-DRw|8@81C!+Ttg4hyT+IyD&vsU8K)Zo+b z=byOVXpEE4jP-YKcIi+s7^~{Dg*cjcoDj>H^*@!8)$^(O(+WKnB5BLvCC>VN`^`V*9 z-d|e6A{?~K85thpCGOy1_^UNJ^PmK2*AE3lu)IDx^I!#KmjNEGs?W+iNGf&B35y8A zZ~cH5$b@^QUeEP?P}j03adglGonVlK@53UseW%{QU?7VlZRuk9Ap!~pcI8jTQjhXO z3lxmR@;L=%LXE{ebb@^K!syH(Nfk9#{V#G6HkO^)+4(y4e{<0hENKe-LUByB>;I;p zvk!Y>@IUJe3{J5$ zLdm3mryfB*d`-sxEIlyD$kL35N6dpLcUKk`F{^VxRoYf@$clpTs!1)w@OevCB*W!{ z>;BgaHnJ61INHtx+jAx3ll;ISHj5`u+jy=LZ**LktSR6(;jT2`uw5^K#uMpNoj4$2Q3xo628-;_Gb z+^{~A2DGaW{G!2sk9R=0)T}-5iw9qorqrbZ6cIMUg0E`hoa`&3!jDd%SK*4z4Ozsk z)T(cni_ps2;|WHTtsvR#n)@DNC-RvdR+tJ!RR2Zi}Nu%J0RZf1LW^QS*0ri_k4!@1tU7atom-*uqQiz zUXA$$89oQlIoT~#K!QHM&in!qpM!?HEOy)aNLU0h>`SszH_^)Y7Xc3X_5x=8C!sB8 zI{T^o*q3`-($Bbb`e4zr2>~k}85s`cHZ2;*6zY_MHX;zt~xf0m_V;T76+7 zJ5{d4-1FG9ze2)Bidmk*GC4|1>%&I6blP(>6Vw+2(FxA=cF`@1JmiMo;j@XA401*v z+SvQa4E!o)F;;{>iZ|h5BaAwC_}p8nDzk7i#prrCi{wk!xnU!JblS5suaD2@QDTF2 zcS$TebFxi!>+fRFS8x{tm##a*Mg+Cn6FMRsRUjsK!KoKWd>7;>vFDY49a0qZeng#5 z-AQKO6^4x@YTsc|`$uJqY1H3Upv$B7hW!%HxS-{`P(q0{tN3da77Whrg%ii4ui!1> zEnVk@jhySWhi2w4E8{ZhOR+p9bjT8nf=s>+Gwc7-X;03yQ3scsD{AgCShAEb84PH4 zq6^~TJ(I9^;Imm*x?)7TMiUhB$q*dyvtw!1^BG4Np#>4}TeIquR17)|Ys;xvePoN* zDJ;l@sV^dA(vZsaOpfU)XdR&YuD z#b44cF|W{%C`JxW^!7=%Ngi7`2U>8;f|>QRiwE~^8IJ;!-3Jt1o+De_>J{( zVT8>3hSh^6$WK%#oYMX0%M`x!mteN)WZm&Wbo4si`ew}tE;KuO{udnRh%8KNKZWo%W2(0~Pu8B6Cm``oHAb{!Ei@e}5`4tpf1zppuEyH!?Mw zu0q2;J>sol8asNrp-y{2#|XRUR9HbZg>4Brdipxf_KM$*T=+hVJ3G%0EuIF7MT`dlzPUfr zO(a0Lb!$S@GD;Rp3iJyq@>;i7e%b=QE7($xFPtN-PdbRIg?YXY+g&_;X%nIY0Ap+S zr4jeNBs%-)^H`rhhT`HOg@JG)nXHT5i)$J^lRX<^edRilB@HvYCXe0yvzHh{NkY>m z7G@zzCf^k@sLS&mk?wG?=os7#~@+~j9z3a$O~lsa`E_z`^^s6HON7pOVE^*QWPU9Blsa9cz>jJh@= zG-fkNlxV1)fDF7OID0seI4@{Ckn!8ooi6k2#*w&4Ct0Xc7Pszb<-DjwuAhAucuqxc zA%}z~H~8Ckvm!2urK)di;ZTvAmyP-}tG`}LI{iDwpSR4W_|EWf7@AGN<6*lX>Ij?R z6RUi+coTT}nP1F#jb2=HOD(0Z??aDg48!Ldm-M<>ui{a0n(;W(U~ORzGjP6a2>Q)@ ztQ0bE2XNYriomiw8;kQD_qiJxA-kerRwl&e@K4jY;51A^?R(DIqLkp=c=Q@ra;LqB zBh0>(tLx^|XozKrOX-|!X*g9+#LuEvY!TPhIfe~;W4{ghXB9vBfrTzqo((JM3`n1n zOXc6BYca%$JJzoCQm*O!I-{kVha7M1$FAih)|gG?uZ8`Sy$PcdZO$51!Z}Z;$4^_5 zmqvn}pztc1$B2JaNvzU^5^b&DV;srPibSEfr!ID1>_HQ?81@_98Q-Mxde&L!8d;TO zfvi50YhNYi1z77aTi!`OL{3`nCn67hF>gNYP5%mLrF;7(&G8UJ;X!=^wf>EEBTAhO z=W-(bgIj4(E`-wdcuezIzm)RN!C1$GTYgY(9VOwxnESJSAtm9_nCydFLy&P1WyaB% z^+SWEILAsN>$O!{Q1x;m>y1@>kTHZ(?|96xd@;e{de3mHSM{~W^tDG)eEE1R{=qFZ zXtR2+?O?2?6Q1O}m>BfDT27gBZPgTnc`!!syjn@wc0Bey$Z;`I+J^+4dLYpf;2Yg`_;NyC^>E_`!a>!=)LdvLi)RLRIv?0a@~6X zwIRM?4a9r{3h8~P6S9bM*K>{irhnbp7qY;(?wr78o{20tFug7|y7!ZyU1#Hi3(57M z)0>4d;5%yMo}X`{<0#9B7W=6zrI=Vsi{+Gk7-9}&TqYlqxxVNr?4dIKH z+RFb(xVJKxN*`Zctb{4>7rALm-{Fp2|9o{)-jN--PQqEFghyQ`xkZID1!`qRt}}5K z_o6NRXntqrlPD{nm1pJ)So)0$F(3zriz6&pW}|o_&BS%>cKF?(v>gy644Or;SXE$Z z;3YHTzMykD7+uVS=y|65QB}nuFnn?Owqnc(AT)c+aen%D6i1+wy#=n}+S5ii z2B@;&peS8KiWw@xAHA4%v9hs)%nD803No=e5b`(MBh1xbu)A3Ac^x7mYRn7g1p!`B@XD0SIrZh(F zZ1XDZvy$djAY8G%AA#&2p=1eVeU_`XE z?pAJ=c>B!h`KO)ktaY3^4SQ&!U$Q!g79KNnrH#G z4BC@zI+fmfJL(T0nZu`WKtwBmH4n_e2j<`g2T^Er(iLhZ*J#G4E&)i zoYe*8Y2}{@f+_gGFCR4gxGeHv8!R{X5lR(gb@p3iwO~z z1?R)g8g`AuT}~t7DAcT~(JW3)F=y()q!%jM2b6zo*0Fcj1PFpRBU4B;+!?j)n{@2G zH79w%k;DL68o&@Pi`NDVN-p?wgG)LTziNnm8sMdTrqmUFQ7~Z7Zf9Bmf5*Knt9?Md!#<)6jv*i3=G-UnkI5&sIP5G=k*CnY||97B2yrT8ID}v;g)7fO3xPVcZw`2kHnAvW#xY zk?%%1tLpXkOfxBERAy4)3jREXY%q1q#9A6bPqf^O_eL7^qVv7fu;&=77bDvctJ9Qg zVrt3-DC#g??}$8n!IY7HZ{tG~t0`!O3VbWXl(iF?DS83$e_PnRqd0LVFnkiLw=ReZ zK~e>bwo$|29h9la6W&38v;yAcf#Y1Hp%&7I`%w$K;Q+x^X6`bsvLiq&jak)07VqP( z=9ww%#7`hrsO^l%OV{F|#Q8A{hC=Hdy?xAc{Ui`+nydu#5)eWwj>U zD~waAvI20H8T{@&?EA0s!Yh_k$B77Xemxd2-8auujr%j7(1VH1oyFgtGg?xD#)7^L zi0LKrRTZGwa{KrXLAgT^V1=J_$wsoR#~$9nNA!7?q-JYE*=Ok9ncFi|>lxbg3{^sc zP9Z@Zkf26LP?2ZoJ3rOUGipQC7q_^3&yf4Dahl^X>$Y#z!;4ux>x{u~bYlNiab4w6 zoc);((!YA#wo{psn0vZ>G$<|bO(!?MU(M9lz_yDL$w3}2CI7nDFpufxKTadjWw(0G zRdqB!d}lGu>7YK3>6PdnXH&i*y-c|-$IA!x%Ppb~TKgJf6YgJP#YekI(Re*CkgZ|i zovXz+>=J-JUoX@!|7CNJtoWi`6!-(;#Fsi)y~0fLOVCT*I`x#|oJgg0Lz*A}P9_R{ z_>T+)P6iCKlj{@()>Jt0$y#`)|3`KEy@)uCDqF)D+W=-ys$!6tcm5IU!YB#QWk5ta zeSmyw)4U!;$BeUH!+UoHy*eBiF?KBdV2@<>i*-!`q^0jNBB+ z7PYtyfy+4m`MqeuP0c-yVeFK8YQ?Eoh#Q*!3r;WMr9QvUz?5SQZ?fFBc-S?U|9`U2 zPvA5{1EK+qa3`H^$ay$tK3DvgJ}9|0R7Q93TnhJU-AZk3fXw(~0c_>XUYXr13Av2pQVp>{w)9^P^MAud>N-Mp(3;Lg6!H47SFvvj6Fy6jke-v9 zi^Gp)XE_mX{&adPIKH#B;5&n?8~YRa{LSNRWd+iSo=@Xz{^a&l5O04_FmlNe2#Kuo zm1vA&Zx!MF4m#==BE+FJwHxY6i~grKL!mh1eg6>9PRe3YZgbk#*n#+iuKl_F(fx0* zX@wN0ko(aDa8jIrCvUyl`CKx!s%3%XOUx=f<zc>{p^j2hZR|F4|5;f1ZIEJ7q7loQRIhqz(YLnv9cF3`qgTE+Wp7Nv} zOVd|z~7ox^7Y6zAK$Ud-s5L; z*zL0CPw)or2E?F@dAhl)xlP!U2y2^bWq-*+o9>t^8*7=A+T<($t%v0Ko3=gY+Tz}V z<0D(KzwceQkc_NoH7#XS_i^T{!E_|2?I&DBs3+}-Pd#TSvmICLB#kp2=KIa796_W>jdcaKF_1AWH zR3};Mz6RM}%wPKLZh7vzg^4?#TSvu7U7%Zx))&!i$*I?LvZQ}$80>;lG5%V6xMqG^q2H}R$KSi{MQsUdc5 zU?-z(UB!>Nf_KdD-KqN#IBN-$H9a=#~c>6iVTtEdZdsqU3nA)oI1$|{`4ZBM}JX%T;?C^ z=Jn~rX&#$UuNIHHWUyJuN4V&zq0ivfszL!|a`;!g=&3Tlwe0?!?$1e$=;>&;@ik=G z&smtlmr9=fX$oS|1j}soj>KF z@lK9F?p96#YvC$?{9hc?&1*^CtGW0D2z;N?K*UB1B|$*BXAq%7+CPLgyEN{6f4Br) zLVGBd9?9!^1VOxAQpEsc7`exDIYDFy3v|eQ?T>N46aZy2~KcKlm0xke3l2Twugrgd2ORr(#cY z4jdv&4y#a#PF5Q!-Npe~s*4V?E6rt;He}uwGrlOC$$Xs>3!JYK$(Y+x9^{T>ojEw; zwZCzs4ctiAbGv?Bdf1S4LheX)1cwuF0s9z3JoY=BhKtt20wN7w(L9QLPUTmm?(uP= zXK{~Xb8)0S8zods>TBmZukV^KET;8t%DOXDXYF(01OW=BrJ{#TUB&?ks)z3>;sUEi z-M{V9K!6GYdJ6Lm&pmu0UuD`%EOvu@vr57>wNdFv(fr~${vsr-HiZf0>sx|b>h`rX z3>)QE5H`ZL&lF&-cny9)l$mfPRbx%eL*ziU2t^yp(~2)?i5 zbifhQG+T7W(`(SLOm#+4F71IK^vR_g_;LwXD8e)7#={Z^dn{}afj+31izE1YErjSa z%)YOK%B)O(iXVY|{sR8NH%DgQsWExYwmz?_Ex1$)_cp_x2vy;Y5eN8AVGX8wQZ4JU zaO))LRJgHONpqIj=l!A{2Q1IHKz-UdW(e+3 zKdL5&2L$)~WCc`h7Pr`bi8P%hZ}v`u+EV1;xR0+6X|7hRR1x=dRKrGctc!d863t_L zj`$~=d+%q{$Y*F#uW_-=&U_8XgDxYlWxP-+j`pDGb&C3Qam@HmRlO`DkNu>P_5U09 zMxxHP&>ExQdF@*lU01h=YG_bKSyg9?fA5#eIrY6lJzGXO`SA1s{~Ns*h7=mE?dhQ{ ziDnTXoskal8gOulaB!(`aOrSxDR6KJd~p$eH(RqcDX2EP@RAeo)d2ie0bD!l^i~u< z<$A}mMF_VU+sXpE6|iuWNfRhtdLQHb>@$%$ujUmyp*ya2Etiq*nWJ7WesuG#A5E@= zOh-egqyBZ*I~8lC{O^aTIsC0MY){`9sBPZ!FMmR7@{+e|3h`SNTeiTMLc0LI1Gt?$ z7B0Vf4ubKZaV!c0JLg%DzL_mnE<&B+A#f>I8GD_Cb%=)bBakM=;n#TU73i(ift_BV z$RH}}n3x8DN_*TlaDXx3(J6ac zKJf#ZqEnZe;W472M4-PLyKt%#1%bLe(K06mZk};rbsWSCsvkO{>NR! zpIc{n#Gqc|=1d=U9$~I3foNx z^+w*QwiC9Rb!Ty>F{7K!duf>{zh3!O@pZ_$Q)Fvf2hjShrG1-wBkK2yhkDnB7XN$RL18zJ2d)EE)r|@KH|3jRrq9mJ8hQzwd|3?(R;Cz!KM`B-`XL5 zALg*%aY2Ar^YG0oN5B?i%IrZ0j#fK_M1Knta&0KP6TMxC;UKqD4f&?#n)$m)=K6cL$zA90 z%ngpNAm7ZsXI1Ww`*Xk|T|nFVzfX!|)Lj3obRnMgcwntp{J0QYW0EZ}+g*t@FwUPw zN%g?m`UrO=yigp%Z+0by2JFkB9Gd;wt6HmiNfB!H+W<^G^A+k_N!99GX1toL4y2L6 zWUlPvgsyQX{m!CNk|}pv7LyO}T6w%b%3;#goNiWs-0Qmjh#O}TRbj-nj9!r|?c~~w z&vWyB3wP30ktOfl&O2fFek(if1Xwq(%EbFxcI4p?{VaO}-wWk|_gLD=o>rdexEDdg z(LZ^*S_85n2+1X_%vAJu+AcL zir*JlaFd_*6EM+{M%FRvx6T`67!Q)Fb8@c>2@byysCBDYEbn456ULhTfdtx z7ydX-wa}{_`2n}!NcUQ%LhL`mzbW!o>mD{s^w}R0$b-I6Rz%{z!}AA|c$iT8c9ts{ zW+jnxR!lywo1JEu=2d!WEwA8JF?P_kf?D9D{8jJwetOz(%xwnQiB)CLAPKrrdIZMx z5ft!xn@=RnBiU;YC{)}JU3E{#-%35hH;%P6F+t>x)O zCcg_+ICNF?ltUALVTHTJ4$@Yz&1hD;{sAMWDWNc!MK3DT!84E-zfpg|vxQuo<(nio zFc8x_rwfLQm(fOFg%p!uibs;v!ZT=tS0>aa^|ga8N`y8wCB#DP*8=ha)AB--=r>J* zOdPDZ1^YnH6Jy<_c7x%I{{oq1Vt6G@fe17)^NP?$m}Tz1#d8J9vqTtxog(&1IE%#0 zOy4EP*d_M>`N+(L3N&{8k)R)`{6LJZ2fOIkAYQ4y=mtlCh}HJGUG*L$15QWm4}xr6 zh=1>kZ?U5M{;;Bhq-}7<`$0_9=h9gm<`-nqo~jkCo;CPI=9PHT4IHSBmnpou))V2YH#m0O6sx2stn}#K3?C zzH`Jj+B@vDx)!(OZ?T)8q#JxB7`i*Z3@`nyNa>!HQ zj|Z*lnb8&dlOKQeO9K6{53M}K6uyq6UM+_Qs7DvNS<7)Ri}evzoXLk-Gd}ayIt9j| zd%wIm%LMw_=mtJRvV%<9$p<02+4OO*-)8?s2$_Xehq%SMQoS zIQxhIsJK~v@Q?ciL;w)rl^#kj7HCu)Nel_>7Uq)kmp+sg=O>}j9wZWy5QNCCB+w=@ zs3I|w2W2@ho!ycLWdofR;Uzz|`Bqr0EHyYO|- zCwz(>paw-}hT-9=PlvNNL5j_X#J9hIjTQ$7uz7Z0@oydRZ%d%Da4=?=fdx;4ZshvP z>z#suh4{dUM!@7?U@^0U*zk$6g3gThR8W&DKw0F3l(pIiz~EMaMdgIFwc01Z;#Ppy zDU6)8+GoJzmVgiBgygkeYoId;g3<^T9Vgp_c3&)h}L%^@!2Gb+N_%Z?UI1fsK zZfB?KvH|h92r@u*Vx#M_20=Iv@~7DCslQEt<`Rd*;{ZmC41baD%jDU0L%mhgdR+s{ zsRR9)kJ-rZS-C!Po?Q{tTNka@1u(r@PzHA3o3QXY`MzbIT^V>I0Xn~nX2zu3ZcaK9 zQ{n@=w(RFJ9Paf-$wT>PQl5AB2)=Yw400HuPtQNu?tM3MLIGNGoWP(wyDt<*3R+Wa zWl(QjY`VQ`&Q50%OE@ebbb{pT(p*9FhR5v{r`wPx#eO{}9l)66SqaR0CWdI{=cRPY z4GG{AXGO_&8Q&S8ItxjfWkl`x4DN&e)J?!DBpzeZ((+sL5{m?Wg9MSvk23rj@#u7M zk(+x%+G8aroq#>XwlxKG384P9I@2vZbEtb4K9(^{+W2j#)*Jn2N(`rqJGU!;HILz9 z7_z2ZGk3Q`{xn6;dS$i!E@%{CU!}~x~QAryYctZbqeHD*w(Pyn~p=4$)L`6&l z6NMvYf}{BTq}5^wV3 zZsg6+_2;}?Xs*vaM@Jxt3r=na25<&sHu`t62V~0R9GiFLe0Q%cHWuI`$qR11`rDLr z=C9VQK*CMEazl6du~Y6{+F3zLLhne{{q6j2CPH1Jgc}FrhNdueK@G@-zXDetK%B=t z*EAR9rNQAr@fZ0(Sf4XM`!vTFV@%%>z};w=Pa22jBgWmBEF|`|TXErKz}A9Q6L9{`{5t%sAQ2tGN-G zAkSd`x}KP@()1az&Q4m@&GN3b2p7@0quyzIg}VGP&^{r+?NZ{;L)l(!bh|(jl70-C zjtLh~8?_7iub&pb$xD21cdhbcHzuT?HWn&?Uyw_c z$;n;u^m`u3UVRZXO5@I-p=HG2qfHKc}@C0-lMPQ*y33pb( z>*akYX19oViQQJ@(9LPJR-~fy8nxmjTd_3S5gzvx3Q3?`^VFigWta*s3}Tcg5^f&H zq!-l^m?!>j?g&NMn=q7}pL5&YSo~XOr)MjF(mRoRoxVd=`62zgfw`tT;Xb>tPjC1W zfB_ged;T%OJ&8>p30#|mKM9^`oqGh~2S5I`j~RyA1X7N?3GOFrku$_T4Wl`0@xc#%?@@B$6ymoK8SnmavNpgWPGVjP8r2UJE@Eil}pKI4Pr~adp+TIYfV-X&R zeJOfEQ`ewOAkIU-#9%O{lfxb=#74DA@9zMxDmf|gY5hVh^rJoC(bAx@lstRrjQ3))M4 z7rF3HT?N6t?mbl}Hwlfp`)SGB3lOzRdKK+W`vfuXR0Mxs^@d5&64m((?#PB$Y4Zxb zO7}a_cgnna_QPW^X$>l0!G{a>sX!bni6J?;_C%ttWcwxC+|UoH2*H(ei7x z_yfODhBaw>H16bM%4qXyUI~WJAFirq+rHIQ6P^;Dh)3VpZn4+}6z<%A61nin-sug) zq6sek4y{5{wC@OnwP4@w1wVg+I;QH;yaSC{zCh=zZkM=2{ZZJbCl6gFE#FWY)CN^v zq5JePhRPpVCM~_t0F{s0{oEKqjgM%PmOz*uCB3S4g@z3Scr@P_`NgXpGW4p0Tx!jO z^H)n9+FF$@182%%{hzWD<#$-u$~XTvYJzgFq%1+PJEdWpKsyFCy_#2(;dEM!&ECvE zTKIL-X2YCR8t<^I@^^5Us6o<+}$76yx-nc7AKB-xQTUcY=kP=?RF9Mh-`3cOlO z+&@@w(H+&Rdk8aD(H%8ETK8Yj0{?iW@6VzIR(R#_hsGe%YK&@LP$jT`@@=-`C|2Da z+vwTXY5c#(Gum)B`irJt!oG2gB#p)nWHnFEhAg^a%7c%WM`5lRC66LUT*Xa~$8p2x z#%%riRO}N6I>5@YUD}7g+o5!bckse?qWhssxF7ZUZ@-SG%xwrSwbqdVp`DW@gzi>n zXxSEWzh9f=ZT%)?`)Unbp7SUK`*qMRaGUFG7cYP=CZ0Lv({>vEOa9p9+l_UG(B9#$ zEZh3ajM5Tkw5x8aagE+W-!51i1=o^eB#&9vbQRon zE5@i**F@o(bcSoJd4Q1gC} zv%QJgO?vlcTGqbdBk;R+fE94&1i$H!4dvVl@29=??^k#U-=+6-zRltld|iW|4iBiv zXJT^9ewl)_NdGtGXZ`%c#;)f!+0Y#wvD+%Ochxo4-K5zBc(CN!Y5K-o*}rePUNZ46 zB($1dmXua?k0`*@HmY9AvCi4CZ%$oU_%coYTfwR;TIDEr_XIO+cLa04jXQ)m;|1j?Z8d-b(-H>5P+%fsRf$qr8%9G}fGl&GgyNGLK4DP+uR)cC z&f^-+V^C11Q9gjap&h=jS%9rhrH`(Y6dqz;AgNn^iosJI?qgTrsaMX1`4%01Zd#zK zQ(lGP(-$7&T)?PRPJ-dVip(K}{49&k;eq}Pi_XD={;Y<<;fT?Sj=>>{;jx6q@r~A+ zfYv&I#<7Y142AKWjqWjy?qP}c9E|o%ht{f&<^hDpfs6J$jM{pQN`n(718v*}Zw!iP z91Cjf3u#P=YV3-(2jXd*4q|+QWXy_E@T5=m8*}3{ywKNJ5Y9LjzCc*`)CNh%I_&Ew zxs;o+sc%7>n3W~+IZT)dSOJIxRW|Z-KgKf$W(-dl4@v>9kQFh~a}!eQ7}EK1miU5B z@&Nn%0QmI4^4LJhkcec86LYN?FDKz7r5oIHzB+PT?_5-qA|qWO_s- zdfUW$S;Tsp#CmANdhI?`Uye{VbN_QU}Lw8i0=Ot85W0}@~Z z3?Ks-Km$+j`+o8K`*yvW%53g!ji1Z)chg0N3lr-Lj(;SknJ5%JM< z2U;UPi-e;WI?blJfp}@U)kdXIc%3R?Z7v7n%n1=sHmZ=3X}adq;4h!82^Z=~N9|fO z?8y^nY{z)xzcEK908eg5d1LGum1&V|ZU!T6Y~J;zmW14mi?v@B2waijEM9QN*i$S~ z;f0=a{0Z0@(A7rTgN+I1ppzD9y-i&c3!uJ1$RF(GS)lsvSP?vHj%%J1p0|Skk#Kxp zFshhE4+v>js&tx`vk-a^{Z|Qr3z?*mfo1;n7Z+$l zY;VzMq#V|V(qvK_SME-T!g*xOmI$AbD>f0#2!>}~s|dblXWgmeqVn#l9p-&2O692c z3S~PYT=vMzi;tQ@{tZI+jiC&@C#A6!wC35Nm88XaatZJv)e??df>#qa*VNMd z3Eypeght>_Wr2#1R#Bpp(x8%%;V=*R%qUck(aw_dn?m87Dz}iBiBOkkAIyeABYo}g z)$mEFC%7W9J553w;=a_(n6pVe7l>c4bkXV7kiJq%b+hR-K8*-^fYPT8yK0i_sOfGz zY-@Qjyp-VVXCGpVgr44xKKh`P7hOIk;eRK8-1kG|*aZn0vM&*G)lqE*31JIWP!ezoA}Us#QS{gbaoc!nu-pJ3ge*7!4#0>1a;_&n)71H zTwyoA@zaR5Y_t~nL(&6HUV&Qy)eo@8Uy6d{h(jq3{g+cYeI@{3!ldTwik`F08kt&*jhz4| z35Czjuq;R6$dd2>o?2XiYYd!@9M-U1^p3JQ80NIUE>D6oQZFk8(g}TnA!%*Ex*TT` zzYyKksyR(%4E#gr9iqY6S}u-)z9g4Q)rMKSk-+U7L*hNL9*DT!V6)|3+15MjM>E(T z;n7gi%?J&3$eFDC?VIgPI+hiO3R7@4p(6=$47I>4I-@|46UY1l4nT3k>SG@!ovY{Sj~w&@NX zAg7gG&g=D$J%glc4ApO$1|$I)ggtG`%3z4SJ~DAJy&PJ z3*O*D7^sf4`lc3TB@O@w*bT;Xtmba+kHHkzoBT1f`@qiHgQuK4YamlP!5YhZ=K4PD zU7@&WjCT)S4Dk9xtpjtdLn8CoJS&ULOcft85C66_U-5bX0f<`tUbFyDbaFK}tZsZF zshWvLkFW!gI8XD5?~lq+P^>IP`Q-!&IO+Gj3#fx7Bw|aY+(_seiFHL4JV68p${`Eu zupOFgW1-1rS?yU2MSX?p*X%*>aUKM1ss4)L9KG(c{t2D~3oMM8yg5H0x$dVV+cMuC z^Ebf603phG+$Dfm(|Qh&o5#|#zqoCK^YqXOgUmO(bGYjZ9kzK0fCjgP<3DxZBPMJG zGct{=&lzmkXr|NX4U??K5bUI&%Hg8kTU-(T?7J911a)Fwv81md^wocr1_D8(&QWoRF}8QFQXWtz zMu&B4EKY4)Iu94q>UELUt}L2F0trw+vUJ;?%8bY%-?|Sx?cj09F98pXHuS!Q{n)q| zaD@7DbH_mmh%EaF_jmytjmlGjf=?;U;bWn&d}-_!zNJu;Ur(K#qLTQ9Ds?K&-EGLB zCV`o0^6wi(IL0>1B(C^KPhlLHFU1ESMZ>FBikVZN;MSX^YPlez_QS-pX!%9@YEtsh ztTKh%_2G@Qx=>j<)S{ic%kar73dO;-HY66rp9BoH)|3)hCrbP6aNrilUjqCpY&RKt zD+#n%9}495C=jGD3Qu|I*|+!()Nw5~h;5gF@R&0aj;P2y(+za_iV6hWDx@bQ_yyND zJe_@u36y*UgF8QZ%;~GW6zZI23?a+JNYxKl3)57}FvVk60ZIDXxHZkFHPxtfr4LTM zk8L&Fn%2@)9Jw^5|8>heXAfm2R+ej!)+wuU!MdXFjP5`TnRXwpZ^ZnXwO&4d#N&8M z! z!)e$WFC)jp>8%m~VlZJ%S#|^fNzU2k>7Wt-jd%E{_ zJ&0C4Jv8w~-Z8{LbZKza_FEmy!#rX(lt&ao)0NFxHxQ=72{9#$gqzHB%53E$tgg9cPk_Ux+Vw?Ef572 z)IB85W=cOJffRT`%yowdWEuP#49(qsrwG(0G6cCdP}2_IcA)RY>A<+~qB)Of8oekh z{x(nZ??C+#RsAtV{aG>fs5cxp@qmLb`27xzU^vAK>l?(08aTc>H|mMBfroO1YG@)< z%OAN)&ZNa|TYyl&ulsgy){-KB_LUPiOyNHPeFBMhXK_CSncDx6AoG7M=%oL@1>HYS zTut<^Yb$J9mPQQD*e0EXtucgX6H4;xFr~kujApJLTAM-~j z67_}nq{X;hX6meNYj%qnQbD(uwr7SjTV_QTdFc2p(vq@9>t*<$f-)=H03hczrFlK4 zKgNM&R@2)bu(VYi z=_Lh@KSBe_Aw0V7ZmwTl%DJoZ1wB$wGc2L0nP)sLxzA)i7vXBna0XHx<`hi~O)Vk) zRaCv1UuOF3+BH~qyJk&QIAo^bwAH7j3MC39KZ$vD&yQS|k9;Z0wB}nb+v9{o_;@({ zh&_M6_a_7h(iL3Mlps=jXvUh|{A%)=j7>Ve8Rc0iKAFSjei`+YZPDRtG^j#2qF^m| zX)FqD#*m1I4A&==(2yw8t9EHiCaxO&Py!1P_I#eh5GPRh=~>g}Ih5tI8#?A8(68u1yO@S5>WDI0BvmpiOW)xwNv=8TZ7 zvXHFHN6}+#>(Rq@k)FvpsIqxB&?;fj^c-Du0te})vQlYoUSangF2eR5@}YJi1f;#l z=1Clk`O5asbSAoy?hh7?FYkeF?+8iUI9u*=G#Gw2D<9jq;xN{MV>6;|un*cNAsaYM z{lo){+bmw!BaFT4Rhn0F0!Oe7j$JUwR=o1{{MmJVH5p4}MCQ?WUy)iy8}}A_{YR?| zREJ~|P4T3o7QwEGx?-s61j>n9GysXNcm$vP6+z9X;cgrJMnRmsNre9EO#`TIf0M>x zdH-%ZiEt-2)JE%UtdgFnCo4GvBVu@l93VHa;*^)G=nWlugGc?Qm}_wjV_)_bqeo6@-UZ<@fr0= zaEn#)`5g77IO^$@e;o{P)dIhuZC2Pa`OT~n1_>u*0F@i!9EGl(GtpVHWp0v;SakMT zX;pXSykSlj!}%?=JYh*0dD@F}@@ecRbRwG*p?J(vw;;Df6NGj^g&){pM1%P*K&?Tq zTwr_pK&-bxKyEMF{RB6zocwj7XPu$`ZOC1TYjSSi{CHlDS+W3(&ih1YY!x=z10n$E zE~XPY;!xch4D{o@#OSC8EzSw5{SXJ2G?3SqqexGD(mnO?DgTj@giDCUtl#UY9VLRF* zFWh^GI!F-0AI$oY^nJ9&qW&+pK071$L8a~)){Wr_jDV7 zuAINU-0pK24C#8o2ZFx7z;AdZMO#8U-=HEIPM45$ix0>84DEOa|0Dar{SQNq8(sK% z6C@B24$}Wbj>G*gLyo+;y`ziwe?#-8ZM))XVvhvYk=fceB%uAZcFJiU8LO!dqf!vn zuSU|LktDxawk?z!C*xf$Q0*-GV2J1#sOUVvqyWJLq_ix~$%}`Y!6Oj_h`5`mQgKP0Nix ztmwjr3hz~X7C=A~14dw(J8RDeq$)_YtE=A3XAxrzgrk<9aTwijBQ-DoIIy#{+CpZk zhD<@<#jV2pW+apK)uV!nY*h7UlS4=*AQ?!Z7{r_F6`;j~JxJ=^w{h5XxolIj@7GYU z2fXm_>_##D9p&n&tZ6#fHqOBpo?1 zCBnn2zEN93uKx6)({C0=*=%BvO*k&RxqJqOtN?r5qOs_)%D`L0zKLGAUZEMjXFH+n zegrYyI@EBfR*@T9dBRnRvzC|52r<#7fv1i%@xDImAvakQQKXQ674N?~Q<92XiGwzS zK7$?>O(4^0;0T_@8mO)gIy;NyC(VSxsNb%VH4BxwaFB>52a|(ulEw&YYTvcv0@_)7 zZ{DqA^LNri-yE)o1dsMA6sp1iXJ7mntZ7p=6gHI1eLsKR`K$8Qu61=9kn7XVcM7eZJkuoYz-b^oTxd)ZT((Wik;Nm}gj^&!mc*VeG=+CJZo#OM z1y4V;fg;jk>)q8r+qTfZ+M`2UW8xEB4fk!GMQJ@`3Yo;v@XTs>WpQXlv-kGjCew#4 z9vqiuIk?ma;=#b5ieb?|aG}O?T6SAy@RiCETF1dYR0J)BxfaTT|BUT}NEzyh`9HoVTcKRul(d17ixZjLln2j%5SQ069=c7*Y+|TLvBXmf}kK zUh5sT4jqfPxD|q|9`L4qUFb@`*+ZR9%YdY~2ag!b1*!7%ii*Fn06MrDFM~NazbazCkH9fi!i`a~EB=72UCIwS?30rL;S*&} zIl##_8?peB$w_w>O2lNay1rpE&SVGl6Rv&dxk*(|;m3?Z$Dum?N#)U|&-OYV&8BTF zdb1yS@djs?-9HU|@Cip4i+T6dKtMy5{4!edgTwOOuT!Ocr$F%d1Q<@8~f8`AT`xi$tbb9G7 z*?LPCk$M0#?-WOY!{tu~&c$Ev?or;v?3I)kGvuVFz5S4K8m+Ib$G@)qiY1<+PQ~-f z?e20V=OvLp8y20p=eJ98upx#W_g)>c#|(+yxoI^>O0kScCp4}(e~-8NjrxDeYS!L11W1Sd zQlm-TmF(KQb0|(>BK6ES4DnFlea==Q9ze?PH)}=>3H{)>L;-!($65mx0Co|xMn2)W z;97Ea=DF}}N3V6fgC*%2g^&+AECt2)8;vENxTscZv zL`}VNMkp=D!WE8smY<*9@RVhQeH1M`hojO z7gG1dZ9@Kpp{q|2=kV3R+|OS%wcVY>j#+;}AJu9DK+U->u4B5-bABbSySf6wTAFpb z_IAV`CjR#-6J-=J=AC>tAt~qiwjMP{T$B$3iEe_+*qnJsj0G8S{Ol^)iH)9o7%R~x zq^do=-b7=h!HSH3yeLA+{*ts>fQSI&lUW&XlQe8 z*p%2<++2kq7Fr`UuYSy^jv$eW(o8W(5L4vmE20~^h-;VFcph}d4ARj=Y&6PJ@PLjG;VlZmZpnQUUG6*nwVWF0+<6XI`3 z@=Kv4Wgl%XLIez5!}m{+9b0bP#5<&g=~jT9OeNo%4o?;+7ttSd0mv?`i)Iu2ei8-w z;RIwpz{;&&6E4ymL@%xoZ$BS$Dk%t0eT?)4*%D?$(@JYx7e7uqA72Ob$Cx;-M;NEi z8ieK_e5AS9KluEoq!~rd)DD9{1wJxzG0xQVjuD#gMZ=XyumEzi4uiF z{Cj_Eq{rO4IG$DB2;jydGsVFpz!{VFlaE)(so>xdrmIw(_GE~i-p$PDD4+jp?+Ydf zgQ8$YA*o`#rxP_q7B$Q(NUS$SCAWQ5`BCK^}zYJRC<|ZQ}agdyYVfv+0Ful%+cE9H7r3wc@JPCy-uWJoOhBrsW##)}w1M823&ggqt5* z9y!N2v>7<06R15+5=twYf|sl7C)z2kscsYMC-5GhWf2G6bY8Y(th5|0)=rKBRhqyP zNdp%`Q=xwTD>c0DUDM-n?N(r*kR?NXh|p=b`_)L;p|)`U&N!qAs5)zt4aZle5f>O< ztKY(DHM9TXvVN~93>=)r+b^fy#!>GtiCq!}8a6aH+OI=5Hxe51HZoMzXtYVf_=*G$ zdJ$KJEV_}R`+z{^s^5>d85CXvuBbG7AHclVqoH6w>@WgKXbpE64!;nk&Q7+M{W~UM zu4J^bR%z7G@nWyIxf^=X3|Zq5RAvn0Lg;nE_)%7CXG6Jr+PI>H0$||8U1bbILerB% zFQth($@I2lun|3rZZJQXe2?7#zX+ugvu;%EbyRsT9%uu{U%+h zi=+cm9+^`?ER-k!C5wA@`UAlZX>mU&D1W!i?lVxM*%x@=zOk`1c|q*4JaUwA&A$gF zdSAb{@gV)R1^x-7C!~%=zSmXf&KJ|cIn~)>-)A{VBi+6tG+-eFdWh)w48n%aAz}@K6wid5lr}2oLMVido%YwVZH(x{ULg3 zY7%)bFB8nq`hvvZ5^xWfBq1lU1J#C8FScn1J$k^zO3o%|B}G{%-eDzPLgvxkA;lU= zboWNdHJ{^{Rg9%^2b~^ta}oykt)`A%*EcXO4j5l7FaEAo=Az6K!z&7yzk zr?zvNTXx5csl0L2j;>47yg1R0NS_)Q$H_r;wvndd1pupyb3pP|ADoMnFLrpcN z-XMUu+wA0mS!_;tV)p;tEYGI=yLQA2cBy_6K;@W<3scQ<^oI=|I;vbP68uuLHvxw0 zPk_G69|w6`&S0PB8v>9go1Jd3V~PpSdMq)^VOLA4Uq;V(nyKBvMm!|r5|ql)r}A#_ zqVEqXBd z%lsfJmFK!x#zc}>RR627uZ)UwTiQf}h2RjNgG+FChv4q+?(Xgm!QI{6-GjTky9EeN zrZe|`_vD<(+?iUvR`32%&s*EyeyVnDk~1_L72jH!~w`XO4sg`5tNZirWxuC1#ffb{Da1gkt|JC$(b+t1B_sZ>5kY zfHsvfj>QwBicF2N;08DQ3a$N*`l1}3>!1vtdD-2#3A1u?Mvv;0bv)#U@}Jz&=eG_B zu2uQs_EJr^hh$6C=l5^T=O-=tNidz>Zy#g-fy;kvm=T~5RS6D zyBu64Wc)Ub;WCv^qv+&ET{6zXk@mb>>FJ}9st>iD^`BE>)}=9${Q zY=y8kWEf(N9pu*5v!QH~5;x#Dv(ixyI)x2?HaE|pM%zwYo0SN|3ouX>BZr}PFR(36 z8`lVxX_C}j&XC;?G@!k7gjkfhn3qTx4)Y#q`|4&_tSGL2!GtZjrSne5FKzw>q*--_RF0N-=?I7iNAm+_VAQZZOXjIM6>byFF!xc;K zqR9NA@<0yt2hjYWsFzf=ShJGb-%04R7b+|D)|-3LHDJ+dmg}!*!pvqq4(u`Lw0;@7 zEfq`tkx55#9jNmioA!K`E>gJ{HAP$E{GCK>lxZ$<;p6W@{b?=B&FNN}`Tkh$WIb;(s`_L>)6F&}v@Gt<0J`N&wBgZB3fV)T+{NEk!OY z(-w1g^N%`?OrY&B^}6vx2QM^tkg%={I9_?wEMf*PY&uG=O#6hg?beQ#uLIkg8&cx+ zk>$c}bFb9?n)I5wFo8lwuIMw8g4kMwbKMZH66#|9nV%@8`4281_3^5fX$L4kCzQ=I zi~?80{e@lEPa^KyrH`k>lfh7jAFf$tvyD`JQ;jqw!7t{}r?Llg%UU>!4)ocWoRf-M zig?FItUd!{t>y8fWO|OZ+q)&k7X# zHcPgfcp?njJV>wi^*{sRbcQfc4ORv{dw?FNnkG)k1)(cg)s9IPe_ZgDH!6F@tp2vz zhexvXVxo@D^xGnb<3kgX_1TBW=cZ0fPH=b8a}`mQ}9MV-}NGsXU3^>TFE_`H%ecj7Ppnw_;A#CM@wQj z9jMmDoWBZlux19HGb;J)E^ngXWpWnjPOp+=s=2K7$={o5z}79K745-$G7Cwry53&J zZ;|n_Ef7|te?_asxK~Ehr68a}mAA4$mklc_2dP38kx=p9HR(~jLe5F342aI0BG|%N zssU4qH30*tORYrjWOU^)yHGhCd9kBb+3y1wF8%D(x6zdo-v5!B3S_q|jy1^u$ zHIX6XRw8BAKSAKoY0&`FSu!ivA(7sAtMYBxV%~f3!Y#kN?Erslzw*3}&xD82nM-Ly z^XBQ$Zn4|q3EX(Ea%i;8T&jz@Lf^{S(w^$k+nLMU+0q}z3m}YL$xOj5mR&Y^SHM@Rym#}t6-l{f=SLrJnI%*F4avNFqblh-H zKHSewInClg*F3ADw=@;mqKc73o7gR8Eo)@V$E8^`_CZDd!}eVZI3%v0CLgPY&sC5r z(#GD7q$|U@V+9%QtaB&gZO6OY%bDrT@*G_1;J0=5Cj|2&7SwESX~3?G@@{Jfs`0){ z@9V2)_$!|BJ|0<^w~U8eba9&Ci~+gI#?N0j6!E{JFL_;)U8Lo>-(rhYIr(jBqY~n# z6ADeB<@wbO6zi~YW=&l~XjTYux-EIQR93SoFI}X;%W1QTL?3^B62sdkI4&X=o@t3o zd0WQ2OY*;E`y}W6VaAiTFRGxJ6oDw;Hh|M5%0;gWt1ZYXXVrniGhT4*NtKW~3C@M% z;C&POaoQwnJ9>4xCF{HjnzbGeC#YKu6dz|KcPsx5B|817Zowo)rlByGC*O5BVf8dd z!dJ2!-_HC12`GzOLv;FU0M;>u*td3g=BH9*`YJv`Pf7R`4a-nvza<*}xo}EPL}nF` zmjUP4&f~Pg%jz_iSCDTraYZ&ed}|l-Clpm3*L-Uug9)n_ll9l`IQ{D*&4QR&h1ztd zr3IT3vsJaX!IZBx-P1ogPa*HCIx(Mut` zF(*JDj98nv*LKzVgIWaj?|u7l009y>YY`~T;w-hy$Babk{FaSn{1sdk<@8U z!?J&{!c?wGz%g5{3RaC7X6bVUQL+XpUsEd}*YyPlE7b``@soo!;29_m{1jddAubzi z24m~pDnlJt;%}VRyB?{z@U-svF zDDW!d9HXGw9+|ECzEH+lNnVvQa+C9yYnD_QO|UkH75dlZf@9nUKGI~lx4{Z=b30f$YuE>GWAW=`2t4( zQc>(s@F(d{r-?SI zaK*ka^Uw{e32xmyUh_t*t;@XO>vP+?C*Zw_Ul2Yo@#)HwICG)IbsDq5EobhsbKMTM z_-pbn@t7tARJ1IbXP0L$W@_bGTZ-^$IW*dZ!x~Kfv>WkN`Z3?Eh{Je+ydu44xky(u zfj%C?KaDQO#ED|Gad%M5zPg-~`~zy6X|D}=!ax$KgdT&``aQR9Vz(qrsBlQoVAPWhX6@&OA+3*N*Gex74guqkfzV3hPFb5HpL* z@Zj~V=d7oEYJF>l9Cf7g`?B-e*mGsl0xP9S-fnR8e$XsGyR&{=`2^Ail76y#fI7KL z)!Bnm&smy+Hj1I~2zjz;q%-WQ-6yXDn9v%oM)Ej_S4(VLv|i8fd1 zba&S(M7l7+=kjWry3CT=6Vv=zS46@I+B*KjQ|6$-^smrX(;myBW%zL$glp;M>`MLy zH2opAaxIr(D7y;&ue{?&pJ<*3YE#P~a|o45;n!N|0Aan7DI|PE*A531kM}6DBciQp zJ|Ry?Ic>TD2NAtz>2zjKgY7wP=jDTW{B63=O!V8KD@rQHGRBJ?GqjvqKA!_VOg|hzsHT9o*)e{-~y5|W`=X&;Ca$RE@6|!^XKTWm9x&@9L2oIDz4e^ zQ`9Nmzvsr?1xUkH%^NLW>IxT1Emx!C?5W$XJUVHPymQDbe;<7bG?-_Y7gpBLWLP1nA7MA( zF=nb^XVT7N*8Y84)yJ{#sYKi~4u@6{aCWzGA8D@SKef&LpdrqsEQBW8h!k3O9*Xc| z(>)l~8fMooy{26<@MboRBSG0A5VzIZik)z#uzll1GGr4J=S|FH5HD0-{+eK(P)S(U zc(Vs*9kW!H9Lh zhc@o_8Jo7mg2~E(SNP5osLT>iLT|UlvgvY!$|8G|LjVd4Bgraq(fze{U0fDUaZlyI z8d38ex|dpG*x?bFXsk?GTF+Sun5U54XLyk;YDjH+IB!bqie$3Q#7h{DHGOm#&C5s|eM8Dy z)w~mVrc|d@ac#sHqnO%3!Dy|Pv%Jd7pdv845PvYmEyz`8NN@T71@`iQb7K~j!^UBr@5OXT6P~+N*0s&niSU@gHz%HQ@ zu9Y9pC3hjs0ZCWc%Q55z6X&#zd@~Y~u2iyMG1Kll5ae$8W{mOJi>$4+V=m6w*6(~` zxf+{chQmbs!k1uE-2*L4b7Dr4g%3!MJaR_F8NE7G82}Nd1|Gr%mXm z;7kW!6Svm|!Mg8Lr=gduB7&BIb#@fQNs`iP31sNIy_4KB3DS_dG-jbP)lH3@Dx*(> zesF{@f?Qew=fei{6BHUH?^k7_IDC`U)HEitSe7fknR?>G$$0#+vH5nedKmF` zcS-saLTkHyravz&`v17h?=om%IgPT!`KZNO;-$U2- z!tFQ~|9t{`F?t}Vqp~8F?>_r}_bnJ-C2Yypcsk80?7`Aw%ZJ{nwt|e>{qDN-$XwdF zcl7{@WXCcwRz<;4y{Aj=(D#8@1Un*y+L_!L_@Z^Oy6$!;}^nchplv#@|kjCSa$xg7)D8&y1%PM?cO- z!Vdq~2%P@0qPgmtqU><29Oy9QiLb^$C2YPJ*jTwzc8T2^`h#7wMpUb)m?8rK_hp{Q zKmS(#%`TP^)02q`ux5U)D6o$1c7YYwdwll5UE%KO{cX}@gGc}{_oa&iw*3#$Av;>j~GcA{YGbryF@x zIrqh#_LMCup@29l3N5=*$3;7^JQyaYLF?KSr%NLZiJJI5tZ=u9L@53C480ntqj_9{ z^s^;BaU;tLGwR}Q4HgRDQ_I1}AX=RjzSYz#-NyQ=$o8envTs!gd{1b$)Ip27Eij*u09bkkG!9U9}IZ2QJ`) z!_a+bqh%0~JhUtdx<7*UDcZ`bB3UzS>TgY(!reF!o~})y^Gb~%_a%8`8VvVU8{2G` zYZGJ@PmTIMM3fL+(#3QiR@xL(D5;#SCAL|xuK&oMY2A1A)l7B*ut4vVm8}jC-9JQC zKCatjrNHuNOCiqV;Lw+jC}$e3EO5;;rr@_P3<&KT7n`9pj)G881x~NqF9uAU$A{by z=6H`gg7aLBwO&pC?5WY5dBb>q3qU}5NzVE(_>l4yc*j7@9)`zPzK4P-?M7w*gNch+ z2=gZIJMqN4N+vV&5%rl)GNbgyG~qflR` zhU6c3A~QP!HlC5Z;J>i;gS-L`pnI)-?7vKVlV&2Ty7o^)0XBCY^amSR-{Rva)B)c8 zCBYHiR^QXRWi0`_rPnN*tt={L0SCK@zKr58^gs%&m1ayvoBf0 zRChzYv`6kE>J-rrE3aIGIQWvT?%Z!ejOkxXNXrGtejr$t-x^pYD(7Ohwa=v4j%3OF z3|NIzUvvF-&_Hz|u{X)N=Wc!Zbs`4(W{_1ZRzII@LRU*CLf0;Wwujc3b;O{o1|X{^ zL5soe5y7}gDiAPB#7Zb7RN@PojZJN~He?{vg0S4|>-+_RjBK)_%lB9q7D`{Y8q3ddBAZlgm zK%{4F;QFuKD)6hixjY|gV3(&IgX9W{rKM%ZT%)WTs6Jm7fnQi4?+UEwGdG*DMN#Hh zKwRjOcfk&%$CPjnF0q{yIDw)ErqN}`!isqnE>D*SB#w=;3U=}oaqF^uUtfRv$JG#r z@(IVe#zB@RYIYj@-jmM?HD)CGr{laVS+~O6vN@aBt5evT?QQJ6Nzyz%(jKE+nCRb?ukG7ZMg;ctE!22YPhYQ4%?Rf;5y;+BA%DhVyS^ zrN&fGKU%v{R2fw)C|e_1u?t!)pvyS=%9cXJQ)dJ+Hb~I_Fi8IW2BQk&fIZ~;=A{u1iP6s|NB`v$1fiu^k=aE2z1#Ck=jy(0~NOCt+u$ zR0&a-3ipV}!96m;x$mlEV%5@PCUQ|n*dN?!kx?oD6|jxrN@4QOHuh6OD0{V0>hGXB zQ0p28ENoZb?X>9PLb}{@!!bctbabMfH>yaFSz+R$>f^wwVKJNc^dwkiDN4M9`UL!H zY*2&1uwGw7uXi%)w}fXQbN*2#>9mZ2z2>5K*f_{B^Ci-WK%8@sg=y8ZqJl1IU>8TN zbPY{Zy5aH1z&U!QtNKy(p-KNvW~Zl_r~PfBllvHQbW6!H^^;!Bn?#YOMdT1CUz2rf z(l>p0iRa6Y9d+94OjAsXU9|(f4eLY8=jh(;;}~mJO*TISR;2Eyp)ap9OyD7Q>ql|c z4!IYyF6-}AcImj+x`&j8hOu}WsfHz063gpXS6pl{RPWzAJ&JBsls#J`3_>I&@HC5; zcBP$J;GR0kSbxQ}(ll?lg?!M7Zuc0?Zy>XAyVs1#X2QeOa(0lXb`HrpI7>8~wdBs4 zHQG*1%9pzAJT(e0>N>5i^%z}F_GERkdpzivNv#lf24)V6Y2>w@TB+swHW{!I{CUCP zFwM_jJ@#5l_gJT#$q3P-4+k;Vki{@Ol!_ z4Fju*JPZtwz9%OpB$Svu-!iE4+Vb+c^bNmTc1pmT~(xtuncqcv59Bx9Rf%<$=)yBGXt8_v%AvD^ERm=!Y2_K& z@cD9i>i(53f3-o4VQht!DH+J-Ozm>$5R9ZE9<~q9POrX8ZSe;tMB9gtJt$T*!#7|r zCOS*pQYIalJDDLUS$K13Fk4q-e%7+Zs)W!cUrO)za|aL;4Bt$k3QV=hrrsCeu+td79Mm_ot{w_Bj%{l0A_EZ4O(v?Ws;0MC1AL8 zhN@`RRWe;fbe(-Sneh{v4Q5xr-?jLeUnpt80O2v*Vr+ME86d?+Rl|1M)gxwWfnsR5 z9XWPhCZL*Ik+!7bzRK5+<$pujn~i5~hOo0l{xsC;6o~GG**f zmM>9o+5?wvfCnyq^?7g#8fjJ4TPQEYPNC}em-z3M76upLJHwqmA~VsEZy{U@kHKz! zX3$5_byZYNDQ6_hg0X!-S%6w3n66sO`u>3aHU?AWeq}e9^#z=Qdc^3C>xD2H zcPk6R16R5R{v~|K*ZXdVj9;L+HQ{X>fno!%IdY&wm5fX>i1h{PbU^ID;qmA2uH*|w z4{;F#85_QNxttbC<+*&I{sZpIQXtE9+=^)eW)>l0y69c9Y6R{J&!aTVKz4Fm1R;>N z#xH~8!NcxRW_8NLfWK?C30=<9%Mr$d%Czm_Zy(~LrpdhS8=R=_(X<2P{U!ZRu&vV2Ynh}RW}YNy;khJK;kjlHX~v!B9g3P zfVWq^g{TimY_-X>LY^8=MTMiH5Ty;uU{#Z{`Dp7?-4bRQ-Ogn{E2g&ZCqhL+PnQ*^ zawjk0zU89CHU9mJLbj6HIRS1UI`;hl;f@KsuL?NFclmTwarjwRx_No4w2jjxBa>-n zFp`KmwWbhr+mt*ju)9<@x6!*V&=m~~5v7HK{Ul76PZ!IFW1k^Ds8^dUcv37#J1h*{wcTuv*R87{A5(pQXEe*NhKNy7FbdK* zjU;XW#va%pv&Cs~QJ(bo2^{gUb}ds1O2|xP;zwOEv<@QKWb7BY?jq>fm`hTybE2AO z6z8^I<^1x$J+{YR`wuOC)XnpmeOE^!6Y#XONEYoch0?xFAN_qM0++fGoI74dz7#pO z5VCcW_nI2i7@qGE-2{8Rw{v6HcFJ8G@_1vX;Qmo|2tu~&81oeZi?$02x_Y6L5xS@tGiM6OuXJc3Gw7{1o5C*V$n*t3O?y}sBlj}%(E6I@Upt!B|( zJC{`R7U`*Yh!2*$>$CJl`%vD41c2n@g}dloXV0X*-C80z!ufRDzd9J-jwG>xEFlLv zYd|F-Z;c)2L7418oGgV(n^7J}cGpNp#^N`u?I%j5Gcwo9_$u`z-{?c83>WtUSnBjS zR-lq7+7$I>m+xkjhnrn?p2@nP(rLY1Nrt}cWn=KM^wY$U_eQ&qe%?HFbZen-2{COz zC9_2z7;^iMbmla)p z!A93ZH>}#?ZuU&ao0KA(W(0TIgIUi%bM-%XLyqlKQ3R}r2RxO*yn6L;sEuiywTHp& zDqlHEprWO%W9wx2iFz`mvpcRDzT5!bJ^?TEU}ib~!F-bDVV-G{cy`k)BZzu>OM#}1 z)y1#D=Gei~of=~nHmq6RywR4ga9v=Qdorw#v)fmF0%~YEM9DNJH!E^T3E3$!p0S{8 zGC&EL153@ac@C&3PQ^;>;nOt> zhLmEIhw^xOS|MlFg_p1lI4#3lqm{tat8uzHlZ0^#$-K33zAHpSpMQ!Pd2ypR{qiwbxD!JhGhV>^s&iNEd->YI zZs!E%DRGgFv!ixbk$w~job2fCU9Gzysl>+msl&!xE12~o$O9)1$M**Bl4ZP;;K z_g|o$?So0%Fl?ad@x~qaVSb@i}D*{V?BCp4KA3Hz@6f<_#ow$yrSE8 zqr!(9fS}Y4L(0W}ZnNcBo{P`}#R1J{P8VtNYMR*Ti6-6ONNN1Q&_vPWrAn zip>p6#}?IQs_2eU&le7C4Z1sT^7)9tteur?f}!hxIKuDNbumvirAxk zh2;g_6}mWf?R=%_`J!q6BJd)D`}5mJ`A;ww5`JtOnqtzyBS+Ek)1)FZL$Pd1rfRs- zX}b&#O1N1}LkLs;PY-cL)Vm4{hjNj7BRAt^aDqk|(4N3v;YF!Y#M7!P5s0up1 zDYvLqzv}u$Opu#h)+qx0Dg&Q?5fh+bs352y(9qC8A_AZD$sk}l6j&kw5*Mf-5Wv#! zA}OfAMWD;1`x3;vjwgS3& zK*9cRN`HUwEl|l=-{8M${QWWLK=Un4^{wp;|F_cbHB18MP>sR@0eM#ZRm0zf1E}>cch{zb(6vyBQuS-vj=YpM)9j`!H~2F~yjg7=HZbvxKQO=s`1ws(#r}mMEb!&;aWwyI z*7+O|Yj$9()P4W~0e*gyMESoU{`~l1Lae!AVE45Hxwc;sJb%f1wZC-#%)S284y1TU zm}w*s5HSi65ct1WaA5LlHzn-Rx~(2@lliHAU5_~YMHsjU93st=@oZ5$o= z9jy#34F8O>x?)&F0_@VSKqLO%t;kOQL6LTJ_u86-kjVb0Iu>K74J5^2kSGxd9P|)>(f~bhQiJ?2|ER9w diff --git a/target/scala-2.13/zinc/inc_compile_2.13.zip b/target/scala-2.13/zinc/inc_compile_2.13.zip old mode 100644 new mode 100755 index f9801546daf1acdd9ce0adc9826f2476a07a9dbe..db59827f9fa42c1c9789bd3cea64a98d3b1e30cf GIT binary patch literal 21682 zcmV)xK$E{vO9KQH00;;O0K*HPSpWb4000000000001p5F0BLSxUt@1=aA|C1E@EkJ z?Ol7A6xEftW`=p3dD@`p=*H+bar2RM_jL1+r@QljWZ2>142bUcZThR}u9+$5s%opM zhZ&5!3L>CJgMtryL{U*uLD_&r#TQ5vjra)oAfoZLC`QHj3ejZ0d#i5My;apcJ<~O8 zR{dw_x>a@VsdLZyokyKplyZSf-r->R2sj&B>dtL!XrFpsSm)I)K@V$jKFJ58p~&cP zJjrXCpoKLtuBbwIj*^%M zpcN+dPg*iaHz}%6SI5hWTo*BkRo0f5e)jU~AAPdt?!9kuFdZi3%xH@wNV64HZ&4^( zSFibG)$=P~S@AjtKY?-m(~2vSq{!hWMb>n!jv}=Ez?1iU^7OO!{F;M*&qaDtj?zQ4 z_inoC`Ga>|zxV8pMZ&55x#I00H<{J>R)ddB6Cu3NwU;ALMd=5iw?WhV9hy|*0tYReS|4{>k?{Ocf= z!mV-}AOFMBn^(Pb{kDOvfK8H;*-IDwdi8-#%bxrh%!Da9b2mef6t&NTwau>`{^R4z z4lUcBqcuobtYO8gckKFN-Hzu!=b#Bj56Dib2YhJH!^=1Q@$KJDgXUa_Xf7g%l^edk z^~PK7{Nq~}bMSAuKn6v{Atc1o2Twlw(XNBfZUrUAT~BFYRY)n3 zki_>0a!66T!uVG-jDE(u#gxV;lOiw21$3kd$rzq{TqqiT#Bs8B_u{d5_`aheN zt$BEp6zGNSflX>WVUm}vpJIo~t9@ZKO(+s&N3keEk*GEEyBil@edxNE*Uqe+06!$c z)6{ra;zilGmjMPmf+cnL`HwxYXVbo&tGL?n@Xr};wUwQ^^NH0@ZdkWz$(6TrwG&}f z_B&0@F>Xc-Ke+Ystp}cd_1Qq}X&Gm=)rGoo>6YDZEZ+3s;Zw6bDUDt>zZcxK>+u~o zZMghJuC@W{Gu-N>RyK*JAG!25Teq%z@y=|I%o>Z$`M#T_rV9?{_-qd~ZV8<0;^2AkIpHp2gEARco!cjUsiBNLte zmCM~9fAgOHZ`^>K>$ht8UH_4I4O4XN}m{3G{m$qL49aKC`yk6@d%c18I&kmC@E@s?F&axdVx+D ziBE=9p))DO^-vRvdFJq4b>zfsRY(Y(bq%VjENsv;B@UI$3350mok|MPIv8Obw(F`W zcQq-pF7)bkwJ#kRYz(B}6ywC`kjzV>+!bm`2(m7Aih?>@RZ@y3sCDo?a!rblFW|d` zx=vM*T4a5WGEYqC-7un4R8>70YLI0`=MlKI3+KQHa#vaxlc7dYpUvxarwpiS2IaM=$_u~8!qJjTG?fx7Jjp{+1Hs5@s5Ch>hDS|sOE2&P_n0-a zow$$sp$Ef(;U0sdPGl6&p^u{)J*5};5sdH{uJgQj)b|~dLJ!IejH-7$dEg|dVg~A* zqWQiph3&0#(64eRZfuJ+&YX%4j<=v{Qe#?9B!x+$Eb5ccsR}4b z%e>kbJJ~!k5s*-u+lYQuL7A9{sX|vQ6-UP)cp-Yb!b`1`c0V1!ZYD-Yvuz2np({hUR8-k~yPIy3-r*jU`YJJRSWggQA2II~Dx| z7^Wt8ohP?M%t6DfjB7<%)6EGQ6(uR~SX#$GjU-6;v6NIKMu85Fgfj8;HN+tit{)~Pei6Z1qB zvl4(xQz-Len$Sz8M@zb}!&=P}C@^GS3>?;FfMIw=Ou5dR)z(aiqp5^0RJS$noIKf- z+q}kDL+e~q%n2pNYlJ*ghVRT&gD%xrEkr?i&HS`i$bna?wKX3LD(=3)|!rZl4(Ag(0Q^`fCp)c_RI7!P4Y zN4AnqwtxQI{7L9xlxZd6=5;|8`J}i=Aa_WDWXi8~p2^{isWW5kL>h@0Qi#s9Oq7Ty zLqdt2j?KFmIBX=vz+npIP>dzeN$lC4A~wzxpu{f_jEK&V>2WZe;*Er{=1fSVum+q6 zBMm^EWJ`pxcYCF4pd9hdC(|({O+=5xgXL2Rr3S*y!D@nF+2Z&lsO8hTGLx5tHX)u? zHL*uX_RUt6MA}$Ggj?9^YvCATEZEv(TDRD#8|XL!s>wO5;{t*FnX_iorWdtze$T`%cPYO=n^tR5=uzewqO$(bUXoNimf&7)C~{NLVc@p){_E zT{554Ea?cHVy^>+Y356z&~yYC8lth58CbG4P(H6)kj+fOq=uklc9X4O2+uVE>p=+= zhze1bsBNVLqhcNAY_r<1wH*x=OtfQ57Kzb>^>QdqD6uJ1rVvhNHj~8=@vOCQ40b@1 zECs#IZ$j^0jM+)UhO0+jetv4`96w-d36~SO%szz3!kHgZdX8w z>B!78^BQd-O;&}J!0SSS7+i|gA!6(X_|2F)!(@xeybdYQNW%wAdw*ouK8Dq*fUQ65V^IL-hV#-~zAEV)W3o!rqU^O8Uw9Gh=708v6i z=ZTU;DJ4N>N*2ltttiB$j1piNegR`XlBM3(T9!hg84{F0K|98{QYdUPyhte&+Lz5p z6C)vVrJu7JpnXn5+Z+@#VRA=9#bjhzvVgqH>8w+-0f31hC}IgEMumgN!w9q*X2>*0 zX%%%rHP98Ih3O}ULlt4oe!vnaXqn|WyAU}=5{cmQz&I*E=uk=IV!*25dq+Zm0<~B- zzlc{cxRgV&p^7xUD1n0%adqVWi1Yq3D8eB$qmpQ35?(o5B`MgY+}214xC;rCvm@aJ z?RSuiXl`yJ(FR&v!;K-+B+6b2g(eCcApIlrCt3tkv(ajrI7Ffmg4_VZ7Lw&W25Lyq zNV}0zD4Y_;xOEKFSjI*zZ830j9E!`V90ERrKs5l0`&t!cK^ntH2^5@qDg_E{?NOqo zN?MHzt)eVAtcG%@$c%BMluD+xF}B6C-mXE$c3cKU3%iX$6o0c3VxtwH&KXC!?)g%R z=okSABfmixVouATsM!{urPh>NLLN`n1yweLRRiTy&zc&WHrJZp$dzn~Sr6YLcamVM zac*N{>r^YUL3K0p(s_-s_NlE@KxzgRG&tMlkMu$`Jh27C(}@kF^^_r32PWI0DZx$l z>CBj#Jc}fyt;mx&*C=^7O=gW6*LaHWE7*uzCpsBmZ$Q+#`ZB ztp%HC04hxsGu|ef4~$BKCOu@RrWcBC0FQFPwZLZch)<)w(QghUKh=fdf^#yfK&x#ZTv!8 zGuSk%3d-!28hBEbHB$l@78g}x9xA)RtVIW$-dGVm6RD$Y_HsO=8DJeb2( zc{w86_b?u#9EuHrWO4!w(t9DV&HM>)tjmxs`DVsd2Vj0);9ZN}UqBT-?SiFUinNP| zG{j{^D!UrTz?4-tf{7*OEP9?XNIn%&ayc>De=fIoOaM4 z_q7=dZ~1A;J==~e`e2b)b^5j<)>Y-_o%$`|X7(M93SR%HOx3YSbt!udh^mV)fZ7il zdSPDIFP#nD^JoUeaYmJL~uGmtj{ z*^B%clx-vg3|?CmK7ri8oW7Lcp@kk{#y`PvNrg`sw@*_Q3EkczCruz9c!`!Man`_s zXgC#Ad=HM77+>cIs!E~IywW4+$ZjcKr9`L6%n&5x+gyQ&yQ*h}CjA)N;0j7}`!Pp4 zPVuVDWDi)Z@MHv3;~A_lbaZwL&lpn-u2|qG2V~3kv&0rus-1V3L1nD{#ub%NN*h`B zFr)trEps-8P)ZvDl=>bVuVu5f?@){%B#LE4ss9@s`nDj=i7-;jjJMxL9I_>n;T@ts zD1~Z9(h;l~{o~e#{y!CdPKFbue(G5NptYf6N#f_O8GctY8rsUx32>}SX=r2dt!#ue z#k=z5Z$W8LH6*)wpCg7k0CQ`Jv$Jqri3=_5E;$ww*^V`~GmQqsDkwu|nr6*DJK|~) z%L;mIYZ!xibe9#|7{zu&W$9&NnwC7^&w5|f?rb8W+jU6jMYqg2I$hWxD?^_M11xMm zhk0bPZTE7pjS>vTuniEobv-bx3~bv%*5EDYW&+pScm_!vZ-(ht!QPQpKampqj+K20 zYATKUl_>k(v)`AQ%)82dUQfE-K-x7%`t4h0^L11mwQqLS@w>WWzg{AHV4b<@c*w3< zQ%+@{ui7)PR^yyi$%mxdKV2I{X$>-KVm|se#EOEb;U^NDLsI&Jb2Y_K#rxQ*{=nhG?mDw)LQJT)~onZoh zPc5dhQ_^dDUt$ zWB{A#{-=sLWU{f$$u~Xjo`cq!h1G z`$(uhc{U+(N0DYDva@~2XsKuS>rmMeg_Klflc|tZKnc~DNoSwdb}wrym~$(PvlW9> zXP8uvYSEy|(=H$8%wFxT+A1h>_Vtm%S9TY|I^+r{@oZ&v6+`H>-tK8(Hlx^1jNP>4 zYzVG^l3co$ky<6_tz?XMVs<-Fe%;P3903U_t!JqRvtq!NsFWZ8ehhyDuzDx! zwe!Za+3o1lz4-8I+suIhukQx1H+g*pz{MV&9!>Y=#a(E0vFH9WMx9r-?_$8dsyWM5 zg2!HfGn^MWKGs1$SZ-hLpq4ZXGmS@0KdSboLiq6jzG89|4CKQlwXTPS(11Q%l5INo zH%MyTU(ERN0KP$T6pR_fXGf08@qHNy$t=y?u)N&xQ@0BGx@+R{13m4~4_i zHeHrKksX(Adm?Wl``0{{Kaq!?y=Z5iMA}L>Eq-c`|N8tp-)U}m^twwQ^O@#>Ki}ay z%~hMX`A+k9zk1AfnpYj%U*LJ^YzPI){-)XLSdB5*8 zxBX`04!>z$x$l18Y5w-s2Ysh`(P!Izr@4LUXTH5i}#S5j!jm6UEs zU`!|ys;35mcmU7+z}Am$sfMK-oR`n!vY?0O$N5DPhsK1W z?(rZV$9$~4X7wZGu!Mu3=erQ|HANnyng0Z3UKe`=B8K|VIFA!Sd;+ua)dMfh@I@W? zlz9)TPLls9MGK-=AK^$SQjau}8Ved@n1{{FuiguA83#+be7F!5q7Qsh=;hUTH!pRd zEk9xOx+I8EfUHh5G|o91#G?j7pDq2g5*C7zuYL~jEAKVWy}sk?0=SEVwVaRUDdAV` znmL3TK9Wfx8MM0{OtByn8PnfYL3|bF_PW3S^5YWdgLC{6Q#vKa7bH#P9gS_69tz?i zJoVex9sINsuHaw==P!b=he@j1-Y;|G>~4RARJ7jZrT zn`|tGE=mIZ+h8ghiXxnMjt7l#185KK+EfKHbml80gkWJ!<`)R~S0of2XCDU9A)ar^ zO)uQd!TB8Y`U`5vv3o$8HB158mG|tqry~DF$ONpJSaF`EI6Cz9y9dhPLLZPm1I8jF z$M%BVK0SymhUmh+rEk4j02(at%UZhxxz7fUdixkdgfZ#;e_wWEHKalE4I+@d8Gx_` zD0tX=?c0}dzD|o;B3WD_1JtzZRvbQDn!h9SfW#na2-7^k(Q%Su=&9Gr7j-wBQrv0yf=-yY$pa+N4r6edON0W@cQ zD1s;5xaH}Giu08=20A6d8&kv;xkpe@43Dsc9zt%#l=cT}RzFe={|P<*0xYlT9>7XQ zDL}pUlGm0L`vRye1K5$KJb0i^P;Bhlyz=tA#ekGu?^e=X-TFdBU7&?g;Dy?3Rq9tX zG~PZ6Mopc2_QuCn5zyyyuH8=DPWUN32LX3#G9{)Yl(&? zxQ0>05t@MKzw=L9pB$F2>C-~c@J@!fk}yS39~vEsI7fqc6f^Mtn~QG)xE=k^$wL;i z+d+6Rj#{=&Ly3kWMxLAb8iW^QsqVdOEMt^!VfitQ{h5z;uB?JfIao48%pf}6+*oHQc9tGqcDYX}yC*v-Rq5>u zYJ#3lIr*VZQ4GEC_M1->!g>yF9-K!N z$-#18nVBz(Xf`dpP?QtOLM=F77V-QVM)%R~ag6VyF;5@9aL3+4SjNGBQa#y6)h%!n~sq^s`4)^|Y4cQC%0qb5cZ>)hTw_)w>8if-83h7w6w`{TC&$ znuAM+2nL9z@UkYd2Powo%M1na5aw(5_PZ|RK!y2vMs#@X&A%##b3q#-7DOdHIyA=CP*DluW5Z`& zyZmj=hinwrQ$&US84X3oQpFZgL6$JqeCX|ec<&eGa0v&Cxgo0fpa4%5G!2EvV< zr7KF{=b-x(z$Vijf*f9?=?Ua@!jaI}(AZ$4-Wmy#5hKa?_1ilO^N+W(K|gvCvQSZz z2`!A?gVcMR2;vi%p>3b-eSBEH;-ECVw?p7%O5t{g9QVUcB6GBQA z;y6tWlm_5TY{O9u!y z2e_X*9RL7Dk^lfuO9KQH00;;O0K*HPSpWb4000000000001^NI0AX-xUt@1=aA9s~ zZ*FrgVrg#eop*3t$DQXrz+f=*1~ZUpd)=1owPo77Ti9LITK3)Pw1)2pk}ktXi8@kR z>8frQJH$MaV*xYJ%uvBqSBFgGoO1?jj#b00oOIte|iM2#|4_DyIZ*=5M8=3W|`i}gW#ZNKbJ<%60zw>{x_zxIn zAyVM#!~XK!pJUg_hrNagF%$etJ6tZY#idG-4Lb2di+h;RQei1oC`D4GMD)N0zxH3> z{SEf(uRB=)4|puW2hGATZ zREf)_>Q=mM@pl-eYJ)XyDZchoi=SY|b|ULfMUU`n-?R9R_S|dScR3ha+l8FF5B`8# zE3f_V-PbXoYQrBypNmxgXCsn6LP!44;&sgMhV-jz-+A}jm?>-tW~8td*@)m@E&lco z;!oewwC1hPZQl|}r81>RDUnIvvXm<25~)IBeN!YAOT;22ZefDXd`l`)NWWvtwl;){Qu*X{iMJ*re0tMu-9at<8rw~ zj9Xd5X=-H-mMU^HP0dU({SM)?FL%*zEg$@{)nPS|B5~=tD znOq5$>-xVBucnmUc%YEkJW=8wisX-QC5X*%Iv1Gz9rjmNO1Vg)RL$R8_(O?Q0-wiH zj7yc0hZ0==fm~)MQ;2LpLc+;2BeOrlgmxl1E>(UYQa*lPWQ&7{$+2WM{GHrl;8?SA z#5cn*1N<{kSmG6q!KO+SH*I7JTnyT(LS{_NzJu|_xI!Yw#pqJou@mt^v+rUik8tH( zJJ^nU_(RaO*xqRbf0d~1g*ATnA&3l&3N(NhP~g^bTnV360a~MzUASh~Fus*S_C#)t zTS_0wfMZ$m-@`Ca@`Xn$DXEa!eDN{Q)gxSaAGP_8%vz+B$-&HeeG3L#B!?FL!>{acP&!~= z&4*oWEyqPl{62be%e!FnyvLJe_UG82sfN<5o<^_xcB zbwesv-%J65w?boBX5YgExKwO;_a?l)L?#6pO($z?)IP^ddBE=2O9Pnz37&nI3w!-CdnH*jm|56EJFC&&rVH0d|TbcYT&{)=!g~7ulir+r5 zvH40pEC-%NWf;OY^jM^Ld{ZXIL40}C1z+`4u(xl@q*B}(^-WJpa}NhL4B94;RiB%| zM;9b6#GoQ~Bbp8GtLhZc5EGth0N<*rD{k1>fQ$9$FqYYC81E6TRF9?BqVXTX5wG}4 zYW-L)lS=-Gi^0y(!U`LBn=YlPk*ZxT)llThGkYDohFXm*gQZkG%a6wz0{QTXAIjv`I2?uA(flj0 zI^Vo!3Y+$YNTLKyJ;5c0s41#Z3+6ZC`wi4>wG=BrVbFYmP-{liFL*2atXpU%z>Gv< zv85Pnloz?1GT-l)!27T!0vTTN>;gwPwl(5 zGAMvgt$l6aa5wG12>ztC!654(`8gL3G^I>86>o}cY;ZB?&$&wAL38$|Ifz^JDB!3@ zt+um+rqX-SG=Odq@Cfp7V8eH)z;W?iJ0(~R?w{ksQ>>v$Rt<~ib2FtpIJB&7WKtX? zbOnWD@QKaMK~V0ONp68gu))`_gSZao1mky* zV}`B$0Qwg< z@k_bw#-m4a{EGKWtxy+=F20mvy<%07@w zq)JHcpt!a*6#DIghZ4D5X`}X$K!8uj|3)sg_WCDJClWZ&fIYMkDV4YsxTX3YAUh46 zNGT4zT-c9bq^hkJ`VIUCPi#KbT(6|8_W}Iv3yE0ySj7h*C+olf+672Fzm>=p$WeYz zqOcVytsjGxzP+qOIst{EkEkE{@yxdC+c2 z6!*W9Dj(wt$sbXVR(1yDX%^Yrn%>)XUdcgL^w+-uE~AScXgRl5cTQDqRxNVitt5)O zcB0Ropw0w7aUQKk1T!Aq*qXv4FIjcXjYk-9RXIE8iABD#_MJc%8AN4`OsiPMTJ5pl z_^pk^&JGuY;QgUCV~rQ-dv@t=guHv?5W#!Es~$LA_6g8ZO6z7TbI^a1?rDU4Owm^l z@Ec+M$R>+2Nws zGb2V~89z@9H=t95Q|P`61Q($Ktz0*(t#O4yCI?x^`FG)l8NB@AsOL;D6BT zaG6hC#{%=BVvLDipTl(4G7H{aJ)o`3LB(`eju1`quk2JKVri+dhD(N&N|%Fngsvh5 z91wROf^hfxbq)z;i}GgNg>WKSX|8lr20dz#tpfBo&$aWYFzvp6c1(2Z1h55Gwi2nO7{o^QhnT?kuE3QyY;08RRDk8vz!V{B*dwV- zj^D>W1L0#0bq3HH5uGX5;Wo8P{SOj_Z zVolp83J6(J92_jK&hu4`5GfR>&B+z%RczgK)lm2NVB|QW9FbTo2V?7Q#U=z7Eic={ z3%O`wnU#8hskaZ@Gd25L?B`Y@g+lU33f%#bQu07zBT;^J`%4w-=`3sGOwKs+C)MYp z30WQI?1cPT-NFWvD>o+4Thn$bNOL&r5yE*(Geq_6&lfoQz%6-e=EQ`+;@)ww_AHVo z8kc$MDS!)^Qf8-KFQDn#FFyM(uvNNWLDqVFp@G_Q@9R2hG$112;pvngoOL<}xHB#0 zQ<|_faI_gHBmsT-K;W?noq$d@`%~F8H@j?WvzpB)MeTOAoMCWPh4b+CXHO)bi)>)K zPJO1G;dmpV=#od`J8%h7FC=ipT1b=%u;W~`Er1u1vM;0{FlVQL4Ud1<9E@jGjI$Y3O0T&6SS}pS z5Q;%dMSVG1#ciNPEz6&(1xxpK{ZjD24%73wCMS}SxNosZ?egST$yxyfo7fg#dtHpii#*By)RWRyAMV!*){&FFM zCfV2*T0zyeo<1*2HG(~5i`$|n(R51JsAHM%Pl`>2XBUScu9V}C9!elTdAdh)h}Zt& zfod4Ecc+~TQU9W@lEPK%U^?Iwxt%%zKJ4HmgvSun+8$jmH6`FwnrG^w30?Ics;W8W zk}1nO-aej1q^zyh@>yfL4DRbb(ST?a4ingNiLI@b!b$-f8tt^&ZK%O4?|{ik1bk?e z{{lUp)dNs4*Ex#hEO`DOZ0)Qb+B^b7smoi2@TuXC59H`KKli07V-%AUqkB96i{5T$ zXdNV65ro7!O`6a!E77^J4e}9HlipuG{S6!}>T5o+QaqMCRN`U~o6tSZVNiqj8(JsW zFAq&cdh{G{Xh^qOUw=RjDPE1adKkcWuIV}W?%|}(3=68`Fk;>_XZsF>wCdFmni=&v z*s|3dg3$>u`yf9R5x?dpaFU8eN)hNC+qg2;FH!nUPizTLfKBxZl&XO?RvNb;&=ZB& zs*Slq%fDt2%j|xky4U6Cek#&stf8k32V#at>Kt_JiGh~VfaC8F`$IDa3Ol-k5X-6G z(jP$6R!9Ml8cbabUv=c_oejjFnl}wPo*e`UNkm$A($dJI5$RmX8sF?^Un9Hc zMHR%L2h>KgH|$*pOsM+vk+W~A5fN{D6SDV>$mHtYYTsZ=(R??eqhc@;yRP~N)u^nh zSYuWDW78V>RAgPA-aX(FkhSu3vw=eWNj^RW@>zDTFmyiv^%ZLx1{ zH%o( z+q=;GjNZS>x)gP{EeHsKtK(s)_o%L@c>u?(ta#2#BQH_Mn{6CoXs*;H+JQ$kXcE;e z+ZNn&_>9>O5#hcuJUE+(XX(tz!f|<|sTm(*OKfpl@U+qABYHS_WMy^<;hp+1C7`A^ z`2}KYBD25PAILz;fy%;fo>q(?%dfeydgbp+UGzXPYt7YEPq6VUGgqjMQ+hVX6(eZS zykGUn(M>032w1hhE7VrQwYhpuKnzPxhWK0|zA!fjM_!{vJaP&^Xn$RXF>}Je?%qlv zT({NqpSSZu%ow-cxe7|;(}BNbVnr29RzINPvB*{lqFk;fFar3W^p(1q>J5lhRpc!g zQZg%Iy!+D(8RLEJ_Oa_c@+?7payk}!s$H$rlQHmQdLBt7njW;X2FaUKFL>I_fYL^hc3g4BWQPGq_q0@E7PAd?WH}x$5{? zV#Bipm2roGyTrxiwF-wEguqslWEeVj}o@6A<$KvgeIx(uqgL>6U>m{O;m3&}Pc0 zqXAoJlv54|7Tb8=H2b1Az%|y8Y8&C}{89;?yL*SIG)J+`IIb1;p+3;s zJu~;2GB?KO*zX|L#YQ;TcdTW$wg|5kO8FCH(G}NfIT~Sx>Cdm9 zv#_5tpGeecAaVDyUUr-cy?^&_Lq7Nmi=Sa8q(%~C1l2RBb1F;STiRFzXzxlLEJM*M zi}!DXu#VDjo|blZrV{#)p}l(#xHTpYLKA0r@pM|N-{rVqMMj>wH$w=BVkr_YKZ%^?kN2p=|rv+eiyz{G6B zKf=fQ@6tN+`8<67_l#ODSZ<+(Y?!0pobhSHoK<)G5aXx9G=!myZ6T(C9 zd<^`GtL;(XLy80U=H5mlc-oEkZ-H#@fo0Sw_wRfNN-Bdh`D$A-Ts!@1wVt|j@boj% zG<1urnSi*Un{nmOFMj?G8XwsH_c4K5ZF&#o2wt20zGhaD|M2=58yjL%kA5Y2zLGq@ z&Sw3@;?FUTdc5cpJ%7wRuynHLRI=B)34`qEQ|8mlCo7ywR~}IUJql;QteXRmC}n#0 zI2n_q%(?Q8HX)OgSzMV>g=q!JW-qTRE>UPaFLM|WkcGzdnv_*Ts?fNbyX~#Zr6^p8 zD8?9t#+Vql4nvC2xawV!ZVX$m>Lf6;aJ9~55FP_|w!_rtYQ6Kq_+V$FKS^I)tgOi} zAS;A{_0`3E28A%7EuoXB5N`J!78%eL!h-D0T8cvGGzL=#2?}9O?4GwFT_LQgTI|I% zDqvb|UKK`LVJQRk(^caho>%+I-QcQ>8_+bsg3ZsmUzbtEJ& z>zS^lCx#T=YI$YP)qtd1x%A9uv#26f`t(_z2|=W~7+-9LLLAvA2n%$lNlua3-jPCr z1{CbKTw(N5y(j-_@Q6>;d*Zhbj|>?Wy(PlfM>VivGZCa~GG7S)Nt0Y;~ z{8o#yc~zAIT&jT6+*Orjq!Vz)U0xJ(w2I8GeYUG1QC|tG-E=|0Wpi`jTQlKcO3_!= zedZ0A^_8fQ{s2N^ZMJ8@9C8Wa!?d&|WtlRnE$%Dh!3^mY0SgJ)Jc6!rm>OP!>D5(o zk8&$m6kTPvzB|r{QCBH)USHND-2Ms_dR4@lnhCUuM}Bdgl}_+*9qT;j>JvOx2iId^ zD2PGuaGx18CV7F~1-U_7t=@4^=lcBMrTJ(F$D8KhvUNX>N7N)vvR}j)kTi*t zk;^JRO@5eP=+$lmX>qMg#VGQ_P+vp`kK|PbM^?leQ?~{cgopf*t~Pj$c0NP}0+p}$_J7R4-|R6~sQ@>6$U**xdc#7gitK1Oo+$JH$}<=E-C;n#=Fqe&6cO?f1c9 zR&oW>=#hI@d`>ZVDYJ`?k4xaX8+SfWWn#D zM$~v;HN&Nu>^s>Xx&5=|m`_0J++G6IjmU0KOm)LA;V~b*Z~5K_AU{2`20c0K8Q9MK z`sHda{QJiiu&1`$T6}q$XMO_uheA6bB+(9JYokLRQTB3&B!uk5I!oGVj?NRN9@9Rs*xVy<2+SmM3}MHr&+;eNXE?w_Q4*zc@V3dp)gaM4;Nd0VFnyE5jV z_HhVnb5v$r2S(RD2BQ;w*<{^gI5o49PukVkGUQ}J*FEy1I=cB9-D4}UJcX{TJ2@4k z88YY|-q9I;0tVfqA-yrg=;gXc)Ma@aa`#CNKz{jJ6Pu)aIF3el@ELWFsxW*+Bd{a*5+ev7`6IzcFBd+NkNqN8 zMB!tzq`U@W6h3lFmyd;7;Ulu*#U2-xIUorim0k&NQl*WW(YXtPv@ufD?rEr%HrCw( zvW-YP+Oy(oOx0U`^Dm2jM^-jEGY9fanU#$OkAYU9&M8YTJ;`Njl#Q*0;#LzzWg{TL zFVKLlY%CR>6mtm5#`eruI7_dx;k3IE&7&wAH3@ZzCXC8PNmhNmi9TgxD9UL7Ny2Jn zqpUu&33=hFxxV9BJI&H3ZIma(9qGyCaO&xFFeUklsTU4Tm{!|}?#_9EqzH)&%%8b- zX|{78=BKzC6Sa+;R@W;NlD1KkADL=Q(>9zk5@!&0TiyjzrHko2indW3?YBrytyr(I z4@CLDL~Y~p?9!c07dIm2j#7>EiW{Lt`!NPIal=1!(2cJXH^!?g!ZAj1qkp0`iK|cC zh&_m2pcO)xyKwPle^YT|x+*>#1ren<@Xt6n5Hb`j*`7`^B8nSZKHkd)4B|#@cx`~D zJk8Zs*bzn*H&XoStBmO4#=^{K7fP`pFSqSkTUltO)QKDRot+0926bb5D>y{JtZr;q z^(|<&oiBz5MVpWud)Ex-9i(C_7^KmzZV!L5*WZ}paY(NNaT3$xDSQXHQdssI7+*n1 zu#b6zd(?D{_ID`g`#Xq7zVi8B`TWv<$^H(K$NwXyL8bHe&jYRwpdU!}_Z#{H-yysE zX+4em27286g4Ku}LxQ{Sle*i^Bf0wlg;Nb2CU^gEzuy@NO6rvP;c$<6wB&2@>bpEM zR(M(-NOzn|Bk@3GLwx{;%mc9}2Tn*f(C*V%$PUXep%Q}oVH3*bR1t#vd{{9e7CUR0 zJ_L5NT?Y*qi6MHqs1+5TQD=;`mhAWOCC~HHlfR&U2GOGIzLy%%uw%xML%I zW{pMQj-CrL|^%3oM$u~T(`$VqBGIUM2p^|Mi#`t*fNFWoi3 zr-K=?*dUK{VsfeKHcqkYkA2w#EJh}EV-Ugv;_yWpr_mmkv>{u+fhU{E4(|`$9Dspp zT)G=Rnm_7~I>(>)pjlKIE~zSc(Ld5az)5c;n?kvjF{CY|XSLJ+jiKgT0OikShTgIw z&BaC+?xtZ+Crc(((WrDP^Xae(XJn&d z*J=P+nlTFAq$5@3-Oji_>jv)Nr*izEflr@CY(93Zro}9?3gZrv1 zLm>BQ?_2}HtW-D3)HchxL&DG$ zpH9Ow^xc9PYKU;$cOR8S%udanpZCV`6BM-<#MWe|-g6hW`<Y9H^O7Lr3AzTS?@{ABlRfS2Q&uB{{cTpw~a z5Hx8iBVcD&hr5S*V2V#X8sYtVu|tK0-yFG!D;kV@Xr4b{N%`@ZBooaHfEP2yc{*@= zkj(NooKO1Cwhc^`M_-k@FdU*GS!PY&9Ui=Ide?G^stbKX@AXe#9863V` zLNZiC4$6B3MSBIvaVEcb*N8x1@Nh~m7r@15$0PQ56f>O=h)DD=_Lq$F6D?U5f84f+ z;IApJQ{cxACyn4twyVG>``exMLCxs^+gsPkdTT!m!+WHz?#QoPeKU>d^+6zwz!ZW7 zo5_|ylGy&7UFx$*1=D@%sLtFocYjN24L2q&j@X*l7)95mF%De)w71!eI|tV+gJGsz zy4FQP&dBhA)GFoKVXX>xd69Z$OIwx9XIAkM*N;RuKs!z-gPW-a!iMxl1+8Do5wDat@~Yns_?zC3ks!RwV*O$&CN}Z)_k$f9 zuF|iz^Fi6XFog1~eQ62Ex~L}1p+8bxz%U>i>+=Oi%ctY^s1QNRmrys1l0?w)`Ds<3 z&~A}EiP80RVM;6VmY%PV%`)wnH9`m&mf(pEb`E(ewJBSMmy9SRfQm+irN0(ZKedW? z4gYY=-b4q{psBNZz-5+j#`ml5gqBaRPBU)JP}WFaWQr$q;!fVDr4H=IlA zgPeSR>*(o_+$+*omU42rLrll?(A{)f+vdb$^yv^hz$J|Fi?01<_>JrDd%i61MJm$M z&=uw4M5q;l`@Uh~g)&!GKc!IyS(`i9IHTS4U>@2eM&q4yx;M`9_IGs=>H8j<>4;gx z9`*rIS4>%yqTf6PNl%uXVzXMZ0>OHcd6A_-oxwNOoMlW2EuV*AN@#L`ewQ2I14=(i z%R1t_;H#EIP1oj*hL(p;cDQv;OHhK-; zNe9n}rd*d`7@s;%TPnw9)`inc)5nmGkIX{r_$O8%V}0fvRCIY%CriAYSpDix@)w() zfjUF77h^drdKz=2PP{l0GEeuIhEYs57eF0Kk%~LOqj5LG+!3Niai?xAhF3np&|Tx*DzXH8&PLLqPK? zrs3weA#5rz^)^m1%y!pfaq&N7{{F4EBcdT43 z*sEN7UoGkx8*?Y$$Oa7q({=S2?nTi)Wq)eIZ&Ha`Kib!VDLmrq5w`L_TCIy@0K z8}UPC97UT?e9!9QvmKAV9tnq=gj|Tg_%!*6DM^(AjZFb%ynEts8kjcYr3~jpiZo|# zBnv+2qVA=OjI!kPir%&3;jV>@h5NxkA;L| z(Q%+4T(|g9Mg5r`fM&tbTPVxDMbQ2F@^b5r^Gv_mU3#nfxwK+Ht-b2WjOPk=+qu(I zW+_`)8t+B@JZ*c!%?X8kDl&OIBt&GXdx z7IpeX&*9UOm^s@IkUE{$#B%wM8%Uvg)FYylOZz4oRt*tIC>d|7>Lqnxg_k_Bi8P=*K{<@LocePU8A-eato8r(|KRk>*5`p;XWpxsgL91O(oVb@{z9( z8IcXl8_hdHw`S}t$UH7xo*Zxl=e_-)!z;nm1-~#e-&ic=mk?PGF=h4hZv|~iFN|`o zPA)&!l_r2~2r7d7H_)wX2RTLq-&TzMTK~yPeXFzX18$?W@l{;dS&8to0nYgjubTK& zl|13cW+ZB)hv}rd>Q5!ksH?1GMJh?k`3~i+P*1qZ)tni286&UtP)CtKRXJcSFJW>I z4<**s7pGpuQ=&1xsU>P@q@%GQzZs#2Uy^ZuiMI56YL6?wn6{~$m5v6T)@y6_q(lMO zS!}SRxZHREl_=CG=tKpO5Sd6n{2{*;B)%hD$LuJUhHW+3pfuUunCU(7y07Ti6e=d} z)~Bga#(*bYNVIygi&`L|(P2G9e(le+LB%@Sk-t zQ~#NU_3rQ8_k?<``nwQ!2s9PGZWfWf>#2g_jL!{`!#u8~Gm2vEn$~*=7Acv^7$<=ZDYGXzHtGtD5@4J zdWdmAUN%Y9Pt9i+RQqPh&*_{ISbbSout+3vJ<{oYudsiv9`%VjHgPMsw%d}RqfhV2 z*ZQ+veDSM%R2#+@J=9bIn-Ytalq@_$Mm!hk|7Jeb{Nl+?*wd9%k-z1kPt8IF1%iQI zU#d}`GYt%IOnY*P;@@Dw8!{%d15>$R|LGO5<^mZ*cZg9WEu}9jD&pegnTKEVqflOj z%Q^Bsco#MtfM=Ts9?P7izVye#V$&F3wf8{S>q&9KDPdQ5^vY zxvTsgu5*x2$vau{Q(Cj@OSRdT0wbvj+-5UqHt+YxzR@7dN!I21McjILSRHXmq|tGH z)p1GA#)x^nFNF0pLOv>dy&Ue&mV#~w^J_Vza%TsYNpxrRGep{ z&V!yCs*l_?BvY=sb*a$qrKOLsfyr?D6ZG|VC%;i*O~+nb*|)QNE2a}pTDX3)&fgZR zQB6iU*=0kgjL4XJ@A43Tw+bU{pF69fhzQcytWRyfC|)JjfGvhARJd3r*-G~uGc*aY zdjs(hGUJYOBNmcb@F`SJm7ip8Y)8l||A3{fUqMSRaFLFIs^u&kZCwsWu2JRgTl;iu zg(e=DbIhH3Rn>6e($NZ8)2Pj7z#i<3mtygG0n^4!``LVQwB1+M{j1`Ww+z=c=6I+G z>83Lj>*3_@Ff8mrXS+T$)wi4^$p0Zvv=15&b*KvC1huL?N&$Z053^S}oc5JdO+lA2 z%vD?enVEiTeqwAOS;%@g+!BZ~+i#m*v>U9}XwFooIUJVRo9$=B2k$C&_iNk*hH9?j zf0)$`>5hY&sJ`l}mb{Yb zG2EpnjcGq4V~j^ucDQ80CqDVp!2sOcwrIhvpi`JG6{>w@gg``U#dM_$96w1Pe*I|K zVy2kX#EkFX@GnC+!F4{f`-Fcuz!C-{VHVSI-w}fLeS9kA$v%rt!qCeJ)>a;(97uAXUHy zchdFm1Ndo&B%Sm(HW*ur^B>i0l^uZq+yzqGC)2q)NiLcGGVN~Nme3Ys#ZumaOe3SZ zSJatH7V}UB7qw&;byl+&ru0=y*^w}uid-{;UZAi~^HI&unM$|fsK8*#<{s|BMj$*; zf@1V$BX^*&;FD69#)U42u(-9jqK%zyQeau~!079tqq>uXX|*O(nDdw9YWKq3S|_6k z(OQAi@_|3lV?4IRwJDzQf_~t{s_kQaL5%Brk;jXs5{tRX$bY)s07$YO(4%EZ$u>zT zbM?AbOSaGH!^Ks0wq4a)Hc%PE=7WzSrBl<-!^h-c8};&k^ryulUO8}o42#B49=ltm zkl?Cb!go~5m~hPHsjz=Sf>ZHS(Slt3Zcr5O8cqsKDeOodEE|yjjVVmG~H? z{F#FYlU$X}4Wp&8MUP08m0H_^Qz(z;Ft1ycyKg%-imHp;-wm?6)6@1da|o!4p|&J$ z-$a}CWt&G-pya>2)SjrG^bcFG=t@BLdTjHU_8_z6y<&pYD1rHBV>e8-jgoYA>u!^q z?z%@nX24y?XF8PqK8L%i5xlwzFUB>vrV&NN@(*kGDm!~-H{1We*t!x=G( z2?Y^dX5A9~i>V2%Pei#qy?4(dII73J{r3OBW83>!)WtONRO%szy0!+rV@ zMp>d5W4wdtG_nzys8-JB)tCb;N(hB4aGvq3R@J4-^Mtt51O@rySXI}HC7+iq_Z#Q?RO9h;Pu@RmiAq*Pn^6J{c{DFk~ayj>q7r7_+oDX#jc2H06l zcGx_sgNqN;D$A-m%2U@0vztw(qYC;v9@#+njDGtCPF366&0niwfLcB$S^r-Hy*Wb_ zupA~QA_6-O7f-WW4GR88bsn2^srgkUv9Grq5BGOJo)!o7(TK2hXc$qM`VttXovd4z zbDVmqJszX|0-MicD!rI_@EH^)UU;dl3iv)x@q+^EAGkJ7&Xg8Tc)6HJ1Zl~ULA)Y7qZF>F>aCzv1HlQQ1db(QQqL*mdK7)(2q%aEu zvvHnCSxoLGGQLt2PQT>+D2tdng07r8_y(?sY<%%yD0p>Dr68CDL6STDF&(~lz1wjT zw6ihZ@HBU|$R!}6Xg$@bmf?()i8mTcp{-mL)ri+{_Vakgl>@)??ag-b0<-UgZVTIs zCMDSvJV-GadkSw%zb1Ut{I!yZ>(CJTu=h z3G<76JpJR#wYKMbv%glrAz!f<$t;$Sqmj@29oNrwB|BEnc{hCy>!#!PxaUj$MayrW zi{}$P{P4?}K6OJ7nuSOhAxdx(2Zixh4zu4<$i7$xL_BYTD&D#Q*#lBohn~YB>jgxx zb`0rpU%jMg$UqTM4PszRiEwPU@P@(!HQ6Rfd}rRbgW*JD#CxRBl7xmp?}AtY{9j+y z-vtSYK>tRa?NclbDG>ktrwB+O?!8k*@C)d3^z}sAaxIPIMP~gE-U8fIEJLx9E)j)? zbdutqP+H<5>;e*8v#*zsSO@dee%X7)MI~_|Y89K|vR>tLYk!>gJQ)egq9>O=2sRG0dQMHK)tK8Li@d|2llOp#sZF!csZJ^wS zk;9@zoN`1q6Bqi8{0!RWC8zbvurq9}6*(~*b+zdv#?YrcXLGr-34a=CG$zO8{X57= zC|Ohc_4Mtkm_)%1Y{qDQw70NEWmMs+b_W>L5h?4u(D+kHKyaedv^FoXYFQ8t1vUAs zo?=LrH$l^8$bg3lOyPmsCaNFjBXBBr5R zq4`m~0suU0FtkjhqTJEpcGPng+@ zTNQO@QSdct8(D3lfTddLN`FXWA)g_#K??tgJj^RmnY-HfoLccpIe`&;)&&R9T-um; zXLGHae0iqZ4zmN2ln92auOYmqC_s{`rdTg4bAldhUm|p+SnJ-PT_$TSC9#Z@l;y_{ zNH#*~epC8Iv4k8i!xS5-767RQ)iB6kcsF@`GXRw z%&t$K)GSpp^jg9S9#klcsSJ*8L%2pp+p)@N*Ld27v38jNCE|a7{d_dui2cx%LY4t5 zG;VoV07FC7)X=XPY2tt-JzKJY6_{X>)t$?6Hc^omc+Fq>Sv;L>;tdWZYMQLLTwrP9 zL9aT2U2vfrF}_)N^n`Y<$Rc{on8YBW<^ANCHexvn11lSoEGJt{+krA3V~W4*^k9`? zXGNZrZ&+bh_4%*yndhU+{&S3u1{FhY&{MI;VX+39%*d+Z8N**EYEpz!z9Cm>S@P%N z11*VGP{@D_J2R51(+J8=?R4})!$USY|NDH_jWzAEsmgNSB<-MiS-(&2QlV-d1I@_X z$>Rcf>od0KQl>bgXc8SY;DM978>mHx1WEw5-^}!CCSjPR^7dkijMiebvgcMDWIXdg z%EY2+IZ5JFaRL{)J(AiI@Yq>Vii~E}fMN${%j*5C-F|MeqPT%@8c1$%`l1U4m&4g5 z&~eH%wUada*<)6d7X_Cnz9)q!9scB+&AcRz#p(ct7F&FcG)%9fBY^N6i1W0BnZcHV zSzY80xJ^la^6Lv+nw4D0lEqbiV+4DynyQFJ`s6dW>EI(4q#n9XjHz6Rjzju# zg3`w9{`fV)WD%Y5=zy|KUahlCxFEdQawVl@|EwnaFMHA%ZqSkmOAsrWDB?wW3dG40A)oty#h@eqchGYkgBEkwRS>Xp->? z(H&S`mRSZ}%u(3dy?TA0{rIuwuU7o5n_V@wHBb2dKyLr06qm4q=f;gh8TGZ(f#sFW zWiv6L{naPNAa~!?PT(YCkA{8TMjP4}tzjo*eHO8hS(7=-qqSk{I8}cna^&W8)$migUadUh< zeY1}K=f52V7kGgO#8g~~nw)~|cHww|C>nixf`O)Sd^XS?jct7?%L%!yj6Kmt-sDN+ zS{YM&RWc=pKWJq$($+{J5*7NqanzPHs@2yLG_OaEv)ukm^y4|t@-yjW#dJ?=5RgNac?FX#s!>9)*c^B#JZ!dd z{3nuf&2oDE^zbvlfGRwO%;^oqXiOnyx~0Eh7YUkrYXi#D(o_fcIJi`Qz=QK$+5&7| zUCJ7b`!VAZ3hSW(O;)Cwx&sreC(O2^(;|xV^?~8_R-U5`43h&=hwwsDh|s|QCQ@*p zglE=uck^x{bH%`4HhfsWEANa%=m|Z1tlW4p%7mP#cfXn4R zT|wt&7s^D+Sj5$i=rvbbdx{Xq;A%NXYo#;d8dhMe!t715yE6Cys(n&OrBc6WFCT5Q3X) z7T8I&=RbNz#+ja@@B(xoaRcN`SsG#h>0N5&Xl1}Z;%v$oOD<2m7i_Pw(kHc)-F1O_ zTMulhs2!YH7FniRW0hp48nfWMH#^p4XjaoY6YX|oAD}?u0MFPVQQQd zq@Ft34GVOHPZMOK;5WKt=wQfEtE$mMAXS;@rc*3B+1(-*Q)^L2;< ztRXT6IkyF0@Ru0`VaA6?*c-7wz`l~0=9FQr8Z>ncjWxBch_SwVej~7} z#0f9O_~cUeeYb@o7F;fj+Xw;PGAaH(Vr}kFipBf>wSFPG9)J`wE-9oD$nm>p?X+IE z5(KLn@~r+e@Hn{FFxGa`kjm5wd&`B=tTrbF)Vu`M#!|cF9IG~4A<8z(n_Af*AvaJZ zox2R(>q6oe5SNq)d~oU*j5b#SDf17gx9;B})}sc|m4;WtunNwlwgohY7h(L<{aq`P zY!FIN>_WDQBT`Nt@imMT{8;6`Xpg9uSpxy`n36HTK`g|=PxIwcmU@ zs4EPP7c-#r*8?Mj8k@f-m3ZKN+u`-++Hg_4yN&uoN`LyM%%^n6wjy1gh~AWkz)5+n zZcm7ENCu=Je(&}XhPLVW7ryxli3~b3?t?-doXfV+{ux+6>=YZrcWRpm3@k)qu*a^} zyM3+u=o{P$b-?#)V^+1l>0j~LvK2~YY4j|E3kUR_9J;Z@k&mmJ%X9js+l> zIr^kI1uh`3NZneLE7Genj8}@@j)a$pvmsHiUt}q0HKG?u7v|zSm!qyJ76d`OHq~CN zyNVlK(oH1u04J52WS=MUgb;kxrI*MYOvk>u)t*ePa)3+YIZps)$n`V2?tM4Om%~+T zAtyFRIHW1fTv!erXGX8(Yrt^r*FQNH`KT_jz?R8(QK~~arGegp&wjo8oDcg69e!O; zJ`^h(X2RfnMs0jFV`d$E%UhP)&;C{5%*E!b`s(R(igge@G+5)QFrApEe!7s^&855r z-bG6rHTu%V7=C}p zjb1S3`aQTulOkVwxhDRV337^X^YxSsAdnU1-rX_s(u+1#(oVsmy+mg44IdfGy1Vth zO5g*2uz~OWI@Bld{bvm7;cck`d+?YYf#O$p-FJLJ`seG}WQ4iX?mlgVd4uIk@-U0% zVT4aC#`Gbk&NJrh;Ws6NZY@6lo75p^9KEp4W-dBj=_YjdynP2Z?ib(iiaYB=per71RlG@;33X1DkcyB0vC@bs_`E z`S&c8Km?z8XuaVltczWP|^{au| z687e`AK;ETUhMnPE664|HFa!s=FQHd>~|<@!4SB&2tefRC= zBoX$qVdPKuL+5|`&_R5>2z^&>BE^Iz-O$Gfy71Ve*1vY zg!N)#-W349N}T@owx5!;FMl7V25%LVoIzWi-IpI zYPLWsZq`(vq%Em>j+WGF0gv9tV^WfgMd6M&-YCKK)dar)=j>gL#$sf3jp_FlQyWi$ zj4uuqcg&d$qVg0RdcEyANdY%PP>$&i0uU?<@G=&>{`;`%MsXNL9$F|#lQLhnAC?RP zX~5J8QHjH7HC_rS&(#%`z8Bm4x^^7B9rsGg^Ka&XcFak|Ay=iD`@r?p02RqYx6VcV zR5>bF=(!)umD%M1u|WlKnWa0c$Bjx#bdgeuLviiMk)>Z1JI=CDO^PC!-~L>wj14sa zn}L^>d&|nwE@V8gCE=e+EC?1Y@jW;u?X3*325(b^!jNETu(QfQS&Gl64w7tTT_9tB z7l=$S7cC3hUVkeGIO{$HGvtnet~&5%uge&GQN=-*|BBx6 zACYlCk7U}b4Tu2~eNkaWW@o*^LVxfg1ENe}f7yC`4Wlp`$SH2Ghb_j);s$tQv`w5yY64?LNw7|tY>9pi5ML$6Wj=BEr?x|Hj z!50cZU6qP9De*Ja#g+6SR36!0Za*9SRhcC)Kv&WQjONOVO*0Qta zG>CYyOUsBw*C!o%23m!Y47xa-+S}Dbf+Xk)D+ppaBLQ&@OgYlex1y`ogi}|a`K8@c zyMs6X$R-X)srNbvn%yb==OMe(B5-XJRj2n$le~s#1{TLA3+rSAo3euo$@$<8l7InP z7wk^?YDn*VrnCglm~0EsWXamQZQDj>-DKIqn@Tt^TkBAUsZtN7HqZpVa+dQsuc`c3j33^ncoo zj24lbq?l6CjRiUn$1lLzWc_QQ6Oq+710Cy!=@FfubGtrP*_s_ffG#Mdu%<@!aXz3a zXF?BfYhlVvUeGB^tp`b!K-1r{GzhMdDd!gKyPhqmH_7{|6Bk7!Ev;y3FC^If zOj=q+PD(wVz%(~3K`t`(J91$6o?DfW|Uyi*MKI)X7U2h;Ca#^sU%F|gzxprg9)8CD5&%lz)A%_ zYLblS8HXFcHT1hxsUkAym$$VKwYQvqyi^0mxezCDOLYo2BJtl#hllE&s=$Z7G%{op z`em{iM>^Hzg2SAVsp-@%Vlc(%1mB3NyHsc<{#5IhF`Vb%cALM5Kc6f5wSkl1nv}B2 zqmYyy+{|!U1hadGb*G#HBbkEI3${C5tp%&|Nx?YeEav{-QPNyop^2R(o(RO!&?fV# zxtv`bU|NF1@-(?Ty~yr3&h5w-n3l?kGEP~6r61p0opw~<&@>X{(IdFF(u)OiBnO@Lyz1KC zJ!}|{2SIVfavJmEaJfOO@4SB!AVu?hZU}wLx-?xKF!(nk$&$aD5K%IR7PgWsP%9~0 zKA)PyM1}{bd!`QIMP)iyfrK32Sr|r67G$!T>zzJg-9H=XoM^_I@0AI)$AS|el`4y< z#EZBy#JTW`CDU=BC0HbxAGjk_#bzmfh`{_93DYt7C~1pb=xNsc{ES3v&3Wes(75;kzD zNJI$XxI^?ve?E{}+w6yYpur^ZP*MenhN6*hZ`9P|wbWLxSEIwzp?*whX&>-+K5BMu z0EFH#rn+>HneW)oEwI!|RN)FUG`d&GMJ&{q{e;Li7Q?rktIlS^f4etS2A_FW5XSi*Gp{B=V?cel;`&DtojyV4D4xpD3YX=rT>u6`3^4| zl#u+A0rOL$#)HDnxT33Zp-?3{J(u$fSOTPRjk_S^k=QfESU;goMHRs-3a|16=;YVu zi>nKaZllUTQ!2|3*se)Iw@0{;K$B}?M?jxwryJ^WT?_kf?|vGf(#pW0%19OuMXQEa z3mHvBvyxW2Gv&MJ>kA43q#;Mok<7xyhfEJ!gR?(bYse01>bT~SXqvnrQ8}rTQ1;RA z+riadLWp`!EPUV^~qJ0gXIMPDgcBGraR~wS$reFr6{>bd=NeQw-Kn7a#R4Z0c z#c?4yjN&nb>Jj2}(+_hj4o%>(#E+plfsna}h#9M6V8xQCA_Sva{E3}m4pa%pmug>> zH>4DxA0!9+11k%UF3i+1kRMBPNRySMV$j6qH4o)`E!;+xq#9Bf835N2 zwqF4&Nr7sZbA}=527`X)GAvF3+6n8ZgjYn^0@{7R3(~LJ}K6WW6FW{2DPh6 zCT3q$O=C0wCw*w#HW4diE-ac9zNEnDtl_dtiLT75e7SHmY30-GScJyRku0u{%G|A& zsq+N~SOLGnfF20#kd*9Ir0O3cePM%rC6!uRBUD2fyS6c59yS$*NpSL}jDt!BJ97yk zu%k9F-2h=wEY8cNtD3n=(}HFs4h&>b zquv#vwvfw$Ii7zY5JT4HvzHSU@5P?fD0|u0VreA~2K{5oS=^8qm@{*c3E~l)>ZlB! z;WZ!=mG3PHKGwFBIT7+>Z;n`UzhSL)jcO{J=Tg-k1iEr9IuS1dN}g`~*KE#U2qh%4 zGE>@?G2U1N*t^V7yB4uwMfv7oX+DhL#F%<7xN}^U@CW13Htb1LQ_D!0sn|h98j7bq z5yT^<_#2{wR+pwZF>v^vL;yJ8< zgsIYJd;!IO`qhznP0(;>1Z}D0et8?2Ji%VtF9v+QtRMXFJx26GmP;ACj-0QSJ2A7QXUMMIA|?tK#qTHR7Cb@0mE%{KCAfTRr*!mDd-M z=|yfrbZeut-lh{$m-H5q+}v$7S8Y=U=O&?;po6R2xas>`IMyI(qmvHdL2X)Cq>;aU zXcuz!m}nbWnZ6N2!0PW3E?(6?P|~F!Ey@#c|D^?_hG~AxVZ0q8gXf{#g!89b=sG)C z^N?{#LEhTPXzCzdHR%FrCyvI!&+a7r?SzVHFy{vi5H?eGr3-~wmf;378IWsbknmYjAb5RNj@~kbsP`M9I)5TAufu5A@Cvy!2y_1e}9E zq8Jxsu(cP|ECqjo6t|jDC8z}}pWT>P*=IDc34~c85I}3H5tji_e--G@Q$uD38L)<# z!t|26#V((DD2;Uvse-dQF!lID{YXtSY)MY7A#{ikVXZ?-Z;*2$N|Q{3ovrF0mlxwF z0#SuF0(sS5O%7(hbS3s;!r*z3AQFd7s%Pihsgo!5=4G2!HGHw(SBrMJ!Fb; zwSDtz46XxZA~{YFk&;iG=B#LprM_Vnq~}u7FMIh&&!S`+Pn;W|kBuv9`f!4QD#{H+ zT}ny13`Nez@G7D_X+w`Vgmj&zP z`&Po?>2H(3#0YtlL&bfAH5Zj9IW<7zGXWQc0!sSPp3N|L<#c432Za5&!rE@rU^pYY zIR^|5p_mW#kGi1E^KBdWga8>USi_sJct;0{GE&cb-Lz`rIK)lY9gC0yw5J*;B%bT^ zgge$))e4ZCY)ok}(LFu*wnd2GT_hT{I6B>7T8dOFb@7r=5z16T z&t?I9sxW2byCpm8tP{4`&`X|W6-30Gy|JLfg=ATME;`o*T)e>L>XU9^&meOJ!**!Y z?)>!5LF4;^?^YS7d5=~3zRem#5r2Y!FB*k^58-AI;@VHhX7i0Snk%6dp=;Z~(~NXF zEis-&RBDr&nc-N!+Q@2px>j$&O-l%c)VYKF^?icn#Ym!?$h~d!4<%cUa#01Y=f4&X zAh;=a3$WWiZLMphHZkD~mwE#)anM64uz^{Z1qgMO3dUAac@Z#%=G8>0eqpD!BNNp+ zclALXcaVX@S6W&O2O*X^tI^;oL80md<&;ztlX+f=+!k0euHe-OUJ6fK6%p;J`vqh3 zx<@FkjhURgDjT@*JR*RM7d7hUlg%Kd3O-7TWFtR{%Wj^qERLv&ED9u|ol%kYDAMRI zYSCFl_9TY{S2WWgecV35U~)rC=vFv78RP$*#*$vgT6P??UeE!f-&x1mq{4dH7i2N< z*cCu#))0o~9ke!{dVY(}xyv9U=7ucD51|M;A`BiR0(? zuvQIL)-P9u7RT_8OdsPH7!~LPqpla0g-r8$nbRPt+%f1yIY1NRo;2k4uauNf7O$wm z`w@@Md*-t~5WS|tyiWWkz&3F$XvLhUdjZ9jtk%U7DJ?;#k$hP_6N2u{A+50Q{fTl{ zj7jfctv4On1%RNUZgSCG#-%m;Y~evLCl4gYV9vQ#W88eYw^e+V5&?+Ma*(6TKRVcP zqSJtkeLlwFEnGiNTUhwM3kcG1Ry~ld`blgiAcZ-mAOC(YM}D)`5cJULcqvV@jeS(R zG+!DpRtWR(`i@6`;-vPw+CoMHM0||v$ymNy1;U8`Ado2<+e)E>>v>_)XATM_4Uuyw zOIZZ(@V&Pw_*dzc#O*d5$o{ONZnBaG%glFZp@Y%Fkl+5Um6AZqjw&Jtioh{HXXJcX z`Dk(a1|fzV_St$t?ny+=t0wbI2i1Z`dkpxQWKXk5i$}Y!`cbKAql3(E{{@R|CSU|F z-bgFn4x#++KePnKuWCMK8ITh3?u0gMI!C&*fzuo0jjC+6-mMm+@j+?>gkP*4&JU_o zsnLq8C8!H>M1A+=MJH>2C(W4}&2o*dMvjYn%s!8s!-^wx>-NeBb?tZ07OCN(*5nJy z)cGfl>}bZEEkgSrr(^NY@Fm+Ob|(80>D6_QmYm2N?3Gggx|}{?&r;ax2P@|m2P;nY zJFiy;(I=j1tmcBDtfYB{1iJJ3-IF(JBLSr}TU_lH$;k>6w=~POXvl9~S-1m-R)W zp>TTd!(v!RX_b#jdblJ-oDULO5ALj$<_}X#3PojxVA%C=ajM_3ypwd_74s4<@{V8w z$swPV|b`&VYUET%HO&}Noj$w z(GM9n?8|*seQ~3)$h#ui-_+|ltH@~buKdDJP_JM5y1K*i%;vX@wrwh%;I3k;d-&tj|R)OHzVw#y_Sct60ho)5E@*28+yjTLJ9I} z2Ci-&v5N7hZ<|Al;PX;ql0w2xFI2OF;r7k>u9twaU7c?}YhJ!K4t@RJ(0Upb04e{s zxFR8|a>zv}L^e9Q`X~z&@QqieJ5igAg!F0PDb`xUB5uH0;b2yCAnOo>1w{Y^-LM+x zDZbVw3twA{m$A3aINp-);%K2V?VhCNKFb5BRjEw#9e|2$4$pt!N##k`o}jvfct#wg z(V?s;{o7K7@yz`Gp_n-HhWRMJJ{Ew#We9chxAYNnt_e+vYOY#dUSbw-k2p{gAN>mx znSCjX^|Y-M!>nJp(p85(8ss-yDLR!;V`o5Vm`SO04s135cTS+HuZ=ugU&N2yi3NFBi*dDf z(#!MIptm|;|F&1&&Z%zhaWx!)GeZKm{0f$&+FA)xv7Xf88qi$n8Ra5!l^oHrk(16c zL7gcQN2wfJw&ri{=7w^^LmOD1`D@){3g`FP5+@=bJn@oB3*W;eI!+fHGAcgaFaMO0 zPph3J-VM55@&*(m-T4p);1!&pnX{FruoDV%B)XzjslbfwMM$Vbmiq6Yr2yF>&N9-$ z$g2oLG$vNm1#A)h^`>4X5sOCzj2p8fEel$DUWSE5hdYO(rAuB#M5O+QZ;|A6}>(?;1eR6 zJvkZYjz2==hZse0#HNZ8HEqFB-jP8J%tI~`c9C$Y_Y_7uulHI}qU}Fdd@gH(FzC-S z+GdCi(A>gJZ-0AbkFS`;3Jo%zd=G6kzZRITH0OlXhB=!!s@U&N`%cC7*{aL>ltp__B>lIG2<9!InT{ zhkso^O%^p0r&6gD56!zm}@REgm`eM3h41O2U157ai)UJpFx=;{ukql!5-SF=}N zw6s@~FwGA2b8f=g{*OXneT{wa=vy?*<_m0;qI0rxG;X@D#|itrK5LoaiF5a={bZ7v zRtbc0CD?na0s&$*M}9sPIzwW9RlPIA`B*j?gZ$U|EaB`qJhb7{TxE zRRjuQ{9+z4(K5Uk^BZxV{g()v70|skDkMEhI5?rAVQzvv8AH=$M5v&cw!8^m3u2+3 z1V7fla~uw$Hse}qw=E7^5D6jlzS;J~=|HIL+-jzTjWuu6;wvzd)SWap6~w$AK$--y zVRZ^sHfNE=M@l?bJOBNC!v-EDNuP!Ca+|J=FCcM;`tm)Py@w4# z&*3j{np8n{gnrn=kwo%26VUN@srQG3M@^R-yAhSO?*E+T=OND~y8z?;-R?(Fy*7J= zT7|Zodeu&a-VB(oH+D04^_t77*AvFsN9HpDslJkdWMp5v?cHnXX>bQBkEV}tI7L0V z^w(d_6mCO1P4LR_l8OKNrMD;0v&Kt1b!s7b|~ceX~xF68iG~?^m?d{Md08|U7umxE}@?ENAFv? ztMbL8_SLqx{sr?jb3Vr!gT_k}L*aJbr=u!|n?;$AF)efZL}aD@aJpJ2Pmz14SdJ39 zth4t$dU5xA;!?)2dGeXcDyfn$(8*6pys?&z`Wno~XLydQ{CJM-I{O!2xa#!Rzd;WE zzB?f~zvmkcub;^07D3Qq?aK#E1bT(oWVo2k3M_vDWw4seuZZ*=ihu&TPA@!M^jOrk z|C$;8L8Pylsv2mjGIJK}Bgh?B?_qerVl;0|#%6Orj&@y}NbWl7`+~)O$r(m7hFF_; z_1Hl$#&2=ruOk~otI?w;W4yDm53u*|hVyL4D+UVO=i8wyr1vFu^CM>1FDN zoP}g=VM1eMSwxZ>nl=2@T4al$?lKgyL69T?2O+@{~JHZ_ve8IkLI@- zi|$_a9mf+ll2MEIsMrpm`d!hY~pisUzn_4Bk-Bv;~4M>}$7zH_p`WU0%f zX4p_hHsHv3h@`&enRj~clvy47falE&Zp6ynr33rk{}POtW>F#5uOk`NV=_<(Dr6_E z`t|x^7aS0D3A-*ed4Veq0iBa|-W5i5BMdj=B)i0*pF3b#O)$eO{Him(RdJPRX8%x0 z1NquvBk!!C)>6VI>VzDn=c72aFwa7J&BZ?=+dy9NJglag-_ion&t^z@6d zhLf9YQrgG8AY3S+cno0p3>5m}zN;rF7C1JcE8~ZTwi5obhL{^av+^0V8vE8C0;Z|J z+~@l$2iH*Rdm_|@9GSO@3JJ`*R>1Gy>~m;OUE4gU z$)l_J9*rLDK*Hbr=4v145AZ>EXC>!q#@xNXyxN>YlXuK*i@fgE;&0y*u}xP{j_|8KrT-`~78uop4gfll#yTz{@x>AUyA>iY&?G4BdG}3@KE? zk^Xf<1eUFvJ{Q65ZX=(CDzb{ntH%H3j_3_}&?1u0feQPrqEGr;LSL9mz0>n>$olygc z_j8!5`SYF-ULBTgG7J%CXNpg>3G8!f8(8*O0D(PB@1?8ymDZvA=?pf;ZO*wRkIIQh zc5H?@;_EY|%>it~xuu0NCMc(P*~KF|CYweSZUtTVKFNJs-NtzzFU>pS&nj?Rcdeky zWwnFzs{-e&#;%vWI2sJZV}CX%Ej+c-DGjYx|2FWY>(-fs-o!eN$Z+V0N*@b9 zkJMCJE+^N7pIo>5+hafpS=B*U_gHMyiAWYMmF(s(6J2m+mY7TcQ|1+bIwNQ|Gb~^Ka9P&SWwK?g zg|0{c*8LoeGRkBY|UF}8lI zdkL9CJ_>lGz$dcDwzZbY(~QSx@ayd(z}$&>@SYCKQ|O^@ECxH%&NJbqLvpN0CzQ{w z6ZW5a{e7i@_?Ky(d&!Abm#oN8l-lez^OAiwbXhtqI>9RUL1Nm1or~hd)KK$1QH*U^{teT7k`E~;ZLL)%Cj@mC zW&9I8gqQWegb@W2X32ui0>;jQL4j>exg>Tuiz(a6qrDpZ1M4)~RVKV^$>niIXHdAY zZufCU=JbIWq@F>>#HO4?M+vzzh@QUb}h2?Bz49l-iM*RAr0BB-VH z*v=48P0i!e(elQLKdgOq^dLdbCx?e2w$O-r3cSH2%RssS|C^4tY{9IBPheU&bqX5S zT4$GOENi&u%G=qkbb9_l;=;STsQOvUBFBHp@?nCn4m;4PW1)nf<6GYa*LHqiqf7Ks zJQHWO-3+1d?}(2@n^e(`#R6JR&VVV$dK8AJS?2jX+eJHhHwC2Yx=CrX3G`|{+w zdrR%QaPBZQ&P|389b^YJTM`n_jG+U!{jyQ+-C8y~{4}kWxcbkn2#@V`t6rnY=Ic(Y zQU)R|HX#k`($$a@08OCZ+=)xZfIIk0x z*DAbDtA68t;oLzj+Ogle7xk<;{l>1L_C8Y~Er`zWk6WztLlQV=%*=bm=}WvK(H#BQ zM~31MIQAsU$^@{87F}mJ^ihqBs~!hy&A-iu?7GS~rlk+Ct-7GQl@0@d{Ih`WSTt_( zbcMD&4xCGiHgszr%*YpvG1atUHG^sfDwqO*LCWf=eDU3G%K*0fZgysQo!L`*ncp)8 z0xr42r7I=#=7#-A4CCxzQ+P|oF*GGDI(xa`25~}qwQk?&!&-dvrAOKmE39qMSa=bl ze)58_cFT>@^NL%NwF?yF9JJ8D%=DM(vOAS38WZU*bD0@=@?Z*V+3ff)Mxj#z675Gn z;QwK#fGmuQ=#c;ck;?yvodOJk2K3*auK$y{@*hvv|6Bf--|PRb{67u~vS>AwK5Kqx2x diff --git a/target/streams/compile/_global/_global/compileOutputs/previous b/target/streams/compile/_global/_global/compileOutputs/previous index 6e27812..b950716 100755 --- a/target/streams/compile/_global/_global/compileOutputs/previous +++ b/target/streams/compile/_global/_global/compileOutputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/Core$$anon$1.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common/Consts$.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/TopOrigin$delayedInit$body.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common/Instructions$.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/TopOrigin.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/TopOrigin$$anon$1.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/Memory$$anon$1.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/ImemPortIo.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/Core.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common/Consts.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/DmemPortIo.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/Memory.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/TopOrigin$.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common/Instructions.class","/home/gh0s7/project/ddca/micore/target/scala-2.13/zinc/inc_compile_2.13.zip"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/TopOrigin$delayedInit$body.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core$$anon$1.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/ImemPortIo.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Consts$.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$delayedInit$body.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/DmemPortIo.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Instructions$.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/TopOrigin.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$$anon$1.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/TopOrigin$.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Memory$$anon$1.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/ImemPortIo.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/Core.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/Core$$anon$1.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/Memory.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Consts.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/TopOrigin$$anon$1.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/Memory$$anon$1.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/DmemPortIo.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Memory.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Instructions.class","/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/zinc/inc_compile_2.13.zip"]] \ No newline at end of file diff --git a/target/streams/compile/_global/_global/discoveredMainClasses/data b/target/streams/compile/_global/_global/discoveredMainClasses/data index 708003a..63fda3e 100755 --- a/target/streams/compile/_global/_global/discoveredMainClasses/data +++ b/target/streams/compile/_global/_global/discoveredMainClasses/data @@ -1 +1 @@ -["micore.TopOrigin"] \ No newline at end of file +["micore.TopOrigin","sicore.TopOrigin"] \ No newline at end of file diff --git a/target/streams/compile/compileIncremental/_global/streams/out b/target/streams/compile/compileIncremental/_global/streams/out index f3c3491..3710e64 100755 --- a/target/streams/compile/compileIncremental/_global/streams/out +++ b/target/streams/compile/compileIncremental/_global/streams/out @@ -1,29 +1,28 @@ [debug] [zinc] IncrementalCompile ----------- [debug] IncrementalCompile.incrementalCompile -[debug] previous = Stamps for: 14 products, 5 sources, 2 libraries -[debug] current source = Set(${BASE}/src/main/scala/micore/Core.scala, ${BASE}/src/main/scala/micore/Top.scala, ${BASE}/src/main/scala/common/Instructions.scala, ${BASE}/src/main/scala/micore/Memory.scala, ${BASE}/src/main/scala/common/Consts.scala) -[debug] > initialChanges = InitialChanges(Changes(added = Set(), removed = Set(), changed = Set(${BASE}/src/main/scala/common/Consts.scala, ${BASE}/src/main/scala/micore/Memory.scala, ${BASE}/src/main/scala/micore/Core.scala, ${BASE}/src/main/scala/micore/Top.scala), unmodified = ...),Set(),Set(),API Changes: Set()) +[debug] previous = Stamps for: 24 products, 8 sources, 2 libraries +[debug] current source = Set(${BASE}/src/main/scala/micore/Core.scala, ${BASE}/src/main/scala/sicore/Top.scala, ${BASE}/src/main/scala/sicore/Memory.scala, ${BASE}/src/main/scala/micore/Top.scala, ${BASE}/src/main/scala/sicore/Core.scala, ${BASE}/src/main/scala/common/Instructions.scala, ${BASE}/src/main/scala/micore/Memory.scala, ${BASE}/src/main/scala/common/Consts.scala) +[debug] > initialChanges = InitialChanges(Changes(added = Set(), removed = Set(), changed = Set(${BASE}/src/main/scala/sicore/Core.scala), unmodified = ...),Set(),Set(),API Changes: Set()) [debug]  [debug] Initial source changes: [debug]  removed: Set() [debug]  added: Set() -[debug]  modified: Set(${BASE}/src/main/scala/common/Consts.scala, ${BASE}/src/main/scala/micore/Memory.scala, ${BASE}/src/main/scala/micore/Core.scala, ${BASE}/src/main/scala/micore/Top.scala) +[debug]  modified: Set(${BASE}/src/main/scala/sicore/Core.scala) [debug] Invalidated products: Set() [debug] External API changes: API Changes: Set() [debug] Modified binary dependencies: Set() -[debug] Initial directly invalidated classes: Set(micore.TopOrigin, micore.ImemPortIo, micore.Core, micore.Memory, common.Consts, micore.DmemPortIo) +[debug] Initial directly invalidated classes: Set(sicore.Core) [debug] Sources indirectly invalidated by: [debug]  product: Set() [debug]  binary dep: Set() [debug]  external source: Set() -[debug] All initially invalidated classes: Set(micore.TopOrigin, micore.ImemPortIo, micore.Core, micore.Memory, common.Consts, micore.DmemPortIo) -[debug] All initially invalidated sources:Set(${BASE}/src/main/scala/common/Consts.scala, ${BASE}/src/main/scala/micore/Memory.scala, ${BASE}/src/main/scala/micore/Core.scala, ${BASE}/src/main/scala/micore/Top.scala) -[debug] Initial set of included nodes: micore.TopOrigin, micore.ImemPortIo, micore.Core, micore.Memory, common.Consts, micore.DmemPortIo -[debug] Recompiling all sources: number of invalidated sources > 50.0 percent of all sources +[debug] All initially invalidated classes: Set(sicore.Core) +[debug] All initially invalidated sources:Set(${BASE}/src/main/scala/sicore/Core.scala) +[debug] Initial set of included nodes: sicore.Core [debug] compilation cycle 1 -[info] compiling 5 Scala sources to /home/gh0s7/project/ddca/micore/target/scala-2.13/classes ... +[info] compiling 1 Scala source to /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes ... [debug] Returning already retrieved and compiled bridge: /home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala2-sbt-bridge/2.13.12/scala2-sbt-bridge-2.13.12.jar. -[debug] [zinc] Running cached compiler 53b08b84 for Scala compiler version 2.13.12 +[debug] [zinc] Running cached compiler 486ef6c9 for Scala compiler version 2.13.12 [debug] [zinc] The Scala compiler is invoked with: [debug]  -language:reflectiveCalls [debug]  -deprecation @@ -34,6 +33,22 @@ [debug]  -bootclasspath [debug]  /home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar [debug]  -classpath -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar -[debug] Scala compilation took 3.902681144 s +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar +[debug] Invalidating (transitively) by inheritance from sicore.Core... +[debug] Initial set of included nodes: sicore.Core +[debug] Invalidated by transitive inheritance dependency: Set(sicore.Core) +[debug] None of the modified names appears in source file of sicore.TopOrigin. This dependency is not being considered for invalidation. +[debug] Change NamesChange(sicore.Core,ModifiedNames(changes = UsedName(rs_addr,[Default]), UsedName(imm_j,[Default]), UsedName(alu_result,[Default]), UsedName(opcode,[Default]), UsedName(rd,[Default]), UsedName(address,[Default]), UsedName(rt,[Default]), UsedName(imm,[Default]), UsedName(rf_wen,[Default]), UsedName(op1_sel,[Default]), UsedName(shamt,[Default]), UsedName(mem_wen,[Default]), UsedName(rs,[Default]), UsedName(csignals,[Default]), UsedName(pc_next,[Default]), UsedName(is_jump,[Default]), UsedName(br_target,[Default]), UsedName(imm_sext,[Default]), UsedName(rd_addr,[Default]), UsedName(alu_out,[Default]), UsedName(exe_fun,[Default]), UsedName(br_flg,[Default]), UsedName(pc_inc,[Default]), UsedName(is_branch,[Default]), UsedName(rt_addr,[Default]), UsedName(alu_op2,[Default]), UsedName(jmp_flg,[Default]), UsedName(funct,[Default]), UsedName(branch_target,[Default]), UsedName(imm_i,[Default]), UsedName(op2_data,[Default]), UsedName(pc_plus4,[Default]), UsedName(wb_sel,[Default]), UsedName(op1_data,[Default]), UsedName(wb_data,[Default]), UsedName(alu_op1,[Default]), UsedName(op2_sel,[Default]), UsedName(jump_target,[Default]), UsedName(imm_i_sext,[Default]))) invalidates 1 classes due to The sicore.Core has the following regular definitions changed: +[debug]  UsedName(rs_addr,[Default]), UsedName(imm_j,[Default]), UsedName(alu_result,[Default]), UsedName(opcode,[Default]), UsedName(rd,[Default]), UsedName(address,[Default]), UsedName(rt,[Default]), UsedName(imm,[Default]), UsedName(rf_wen,[Default]), UsedName(op1_sel,[Default]), UsedName(shamt,[Default]), UsedName(mem_wen,[Default]), UsedName(rs,[Default]), UsedName(csignals,[Default]), UsedName(pc_next,[Default]), UsedName(is_jump,[Default]), UsedName(br_target,[Default]), UsedName(imm_sext,[Default]), UsedName(rd_addr,[Default]), UsedName(alu_out,[Default]), UsedName(exe_fun,[Default]), UsedName(br_flg,[Default]), UsedName(pc_inc,[Default]), UsedName(is_branch,[Default]), UsedName(rt_addr,[Default]), UsedName(alu_op2,[Default]), UsedName(jmp_flg,[Default]), UsedName(funct,[Default]), UsedName(branch_target,[Default]), UsedName(imm_i,[Default]), UsedName(op2_data,[Default]), UsedName(pc_plus4,[Default]), UsedName(wb_sel,[Default]), UsedName(op1_data,[Default]), UsedName(wb_data,[Default]), UsedName(alu_op1,[Default]), UsedName(op2_sel,[Default]), UsedName(jump_target,[Default]), UsedName(imm_i_sext,[Default]). +[debug]  > by transitive inheritance: Set(sicore.Core) +[debug]  >  +[debug]  >  +[debug]   +[debug] New invalidations: +[debug] Initial set of included nodes:  +[debug] Previously invalidated, but (transitively) depend on new invalidations: +[debug] Final step, transitive dependencies: +[debug]  Set() +[debug] No classes were invalidated. +[debug] Scala compilation took 2.296694362 s [debug] done compiling diff --git a/target/streams/compile/exportedProductJars/_global/streams/export b/target/streams/compile/exportedProductJars/_global/streams/export index 26fe379..671555b 100755 --- a/target/streams/compile/exportedProductJars/_global/streams/export +++ b/target/streams/compile/exportedProductJars/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/project/ddca/micore/target/scala-2.13/-name-_2.13-0.1.0.jar +/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar diff --git a/target/streams/compile/exportedProducts/_global/streams/export b/target/streams/compile/exportedProducts/_global/streams/export index 3c15dcc..21cdc14 100755 --- a/target/streams/compile/exportedProducts/_global/streams/export +++ b/target/streams/compile/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/project/ddca/micore/target/scala-2.13/classes +/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes diff --git a/target/streams/compile/incOptions/_global/streams/out b/target/streams/compile/incOptions/_global/streams/out index 4a34f08..dae7507 100755 --- a/target/streams/compile/incOptions/_global/streams/out +++ b/target/streams/compile/incOptions/_global/streams/out @@ -1,47 +1,11 @@ -[debug] Created transactional ClassFileManager with tempDir = /home/gh0s7/project/ddca/micore/target/scala-2.13/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes.bak [debug] About to delete class files: -[debug]  Core$$anon$1.class -[debug]  Consts$.class -[debug]  TopOrigin$delayedInit$body.class -[debug]  Instructions$.class -[debug]  TopOrigin.class -[debug]  TopOrigin$$anon$1.class -[debug]  Memory$$anon$1.class -[debug]  ImemPortIo.class [debug]  Core.class -[debug]  Consts.class -[debug]  DmemPortIo.class -[debug]  Memory.class -[debug]  TopOrigin$.class -[debug]  Instructions.class +[debug]  Core$$anon$1.class [debug] We backup class files: -[debug]  Core$$anon$1.class -[debug]  Consts$.class -[debug]  TopOrigin$delayedInit$body.class -[debug]  Instructions$.class -[debug]  TopOrigin.class -[debug]  TopOrigin$$anon$1.class -[debug]  Memory$$anon$1.class -[debug]  ImemPortIo.class [debug]  Core.class -[debug]  Consts.class -[debug]  DmemPortIo.class -[debug]  Memory.class -[debug]  TopOrigin$.class -[debug]  Instructions.class +[debug]  Core$$anon$1.class [debug] Registering generated classes: [debug]  Core$$anon$1.class -[debug]  Consts$.class -[debug]  TopOrigin$delayedInit$body.class -[debug]  Instructions$.class -[debug]  TopOrigin.class -[debug]  TopOrigin$$anon$1.class -[debug]  Memory$$anon$1.class -[debug]  ImemPortIo.class [debug]  Core.class -[debug]  Consts.class -[debug]  DmemPortIo.class -[debug]  Memory.class -[debug]  TopOrigin$.class -[debug]  Instructions.class -[debug] Removing the temporary directory used for backing up class files: /home/gh0s7/project/ddca/micore/target/scala-2.13/classes.bak +[debug] Removing the temporary directory used for backing up class files: /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes.bak diff --git a/target/streams/compile/packageBin/_global/streams/inputs b/target/streams/compile/packageBin/_global/streams/inputs index 66c2ab4..35c218e 100755 --- a/target/streams/compile/packageBin/_global/streams/inputs +++ b/target/streams/compile/packageBin/_global/streams/inputs @@ -1 +1 @@ --1320494222 \ No newline at end of file +175918183 \ No newline at end of file diff --git a/target/streams/compile/packageBin/_global/streams/out b/target/streams/compile/packageBin/_global/streams/out index f991401..873cb34 100755 --- a/target/streams/compile/packageBin/_global/streams/out +++ b/target/streams/compile/packageBin/_global/streams/out @@ -1,51 +1,57 @@ -[debug] Packaging /home/gh0s7/project/ddca/micore/target/scala-2.13/-name-_2.13-0.1.0.jar ... +[debug] Packaging /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar ... [debug] Input file mappings: -[debug]  gcd -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd -[debug]  gcd/GcdInputBundle.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/GcdInputBundle.class -[debug]  gcd/GcdOutputBundle.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/GcdOutputBundle.class -[debug]  gcd/DecoupledGcd.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/DecoupledGcd.class -[debug]  gcd/GCD.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/GCD.class -[debug]  gcd/GCD$.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/GCD$.class -[debug]  gcd/GCD$$anon$1.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/GCD$$anon$1.class -[debug]  gcd/GCD$delayedInit$body.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/GCD$delayedInit$body.class [debug]  common -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common [debug]  common/Consts$.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common/Consts$.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Consts$.class [debug]  common/Consts.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common/Consts.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Consts.class [debug]  common/Instructions$.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common/Instructions$.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Instructions$.class [debug]  common/Instructions.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common/Instructions.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Instructions.class [debug]  micore -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore -[debug]  micore/Core.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/Core.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore [debug]  micore/Core$$anon$1.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/Core$$anon$1.class -[debug]  micore/ImemPortIo.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/ImemPortIo.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core$$anon$1.class +[debug]  micore/Core.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core.class [debug]  micore/DmemPortIo.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/DmemPortIo.class -[debug]  micore/Memory.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/Memory.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/DmemPortIo.class +[debug]  micore/ImemPortIo.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/ImemPortIo.class [debug]  micore/Memory$$anon$1.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/Memory$$anon$1.class -[debug]  micore/TopOrigin.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/TopOrigin.class -[debug]  micore/TopOrigin$.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/TopOrigin$.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Memory$$anon$1.class +[debug]  micore/Memory.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Memory.class [debug]  micore/TopOrigin$$anon$1.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/TopOrigin$$anon$1.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$$anon$1.class +[debug]  micore/TopOrigin$.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$.class [debug]  micore/TopOrigin$delayedInit$body.class -[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/TopOrigin$delayedInit$body.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$delayedInit$body.class +[debug]  micore/TopOrigin.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin.class +[debug]  sicore +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore +[debug]  sicore/Core$$anon$1.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/Core$$anon$1.class +[debug]  sicore/Core.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/Core.class +[debug]  sicore/DmemPortIo.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/DmemPortIo.class +[debug]  sicore/ImemPortIo.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/ImemPortIo.class +[debug]  sicore/Memory$$anon$1.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/Memory$$anon$1.class +[debug]  sicore/Memory.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/Memory.class +[debug]  sicore/TopOrigin$$anon$1.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/TopOrigin$$anon$1.class +[debug]  sicore/TopOrigin$.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/TopOrigin$.class +[debug]  sicore/TopOrigin$delayedInit$body.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/TopOrigin$delayedInit$body.class +[debug]  sicore/TopOrigin.class +[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/TopOrigin.class [debug] Done packaging. diff --git a/target/streams/compile/packageBin/_global/streams/output b/target/streams/compile/packageBin/_global/streams/output index 4e9041f..ccc866e 100755 --- a/target/streams/compile/packageBin/_global/streams/output +++ b/target/streams/compile/packageBin/_global/streams/output @@ -1 +1 @@ -1488086236 \ No newline at end of file +-1359482768 \ No newline at end of file diff --git a/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export b/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export index ddc45ce..112ae53 100755 --- a/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export +++ b/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/project/ddca/micore/target/scala-2.13/-name-_2.13-0.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar +/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar diff --git a/target/streams/runtime/exportedProductJars/_global/streams/export b/target/streams/runtime/exportedProductJars/_global/streams/export index 26fe379..671555b 100755 --- a/target/streams/runtime/exportedProductJars/_global/streams/export +++ b/target/streams/runtime/exportedProductJars/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/project/ddca/micore/target/scala-2.13/-name-_2.13-0.1.0.jar +/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar diff --git a/target/streams/runtime/fullClasspathAsJars/_global/streams/export b/target/streams/runtime/fullClasspathAsJars/_global/streams/export index ddc45ce..112ae53 100755 --- a/target/streams/runtime/fullClasspathAsJars/_global/streams/export +++ b/target/streams/runtime/fullClasspathAsJars/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/project/ddca/micore/target/scala-2.13/-name-_2.13-0.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar +/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar diff --git a/target/streams/runtime/internalDependencyAsJars/_global/streams/export b/target/streams/runtime/internalDependencyAsJars/_global/streams/export index 26fe379..671555b 100755 --- a/target/streams/runtime/internalDependencyAsJars/_global/streams/export +++ b/target/streams/runtime/internalDependencyAsJars/_global/streams/export @@ -1 +1 @@ -/home/gh0s7/project/ddca/micore/target/scala-2.13/-name-_2.13-0.1.0.jar +/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar diff --git a/test_run_dir/Micore_should_run_the_C_program/TopOrigin.lo.fir b/test_run_dir/Micore_should_run_the_C_program/TopOrigin.lo.fir index 908c5e5..caeb25a 100755 --- a/test_run_dir/Micore_should_run_the_C_program/TopOrigin.lo.fir +++ b/test_run_dir/Micore_should_run_the_C_program/TopOrigin.lo.fir @@ -81,13 +81,13 @@ circuit TopOrigin : node _if_pc_plus4_T = add(if_reg_pc, UInt<32>("h4")) @[src/main/scala/micore/Core.scala 65:31] node if_pc_plus4 = tail(_if_pc_plus4_T, 1) @[src/main/scala/micore/Core.scala 65:31] node _id_rs1_data_hazard_T = eq(exe_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 92:21] - node id_rs1_addr_b = bits(id_reg_inst, 19, 15) @[src/main/scala/micore/Core.scala 87:34] + node id_rs1_addr_b = bits(id_reg_inst, 25, 21) @[src/main/scala/micore/Core.scala 87:34] node _id_rs1_data_hazard_T_1 = neq(id_rs1_addr_b, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 92:50] node _id_rs1_data_hazard_T_2 = and(_id_rs1_data_hazard_T, _id_rs1_data_hazard_T_1) @[src/main/scala/micore/Core.scala 92:32] node _id_rs1_data_hazard_T_3 = eq(id_rs1_addr_b, exe_reg_wb_addr) @[src/main/scala/micore/Core.scala 92:77] node id_rs1_data_hazard = and(_id_rs1_data_hazard_T_2, _id_rs1_data_hazard_T_3) @[src/main/scala/micore/Core.scala 92:59] node _id_rs2_data_hazard_T = eq(exe_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 94:21] - node id_rs2_addr_b = bits(id_reg_inst, 24, 20) @[src/main/scala/micore/Core.scala 88:34] + node id_rs2_addr_b = bits(id_reg_inst, 20, 16) @[src/main/scala/micore/Core.scala 88:34] node _id_rs2_data_hazard_T_1 = neq(id_rs2_addr_b, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 94:50] node _id_rs2_data_hazard_T_2 = and(_id_rs2_data_hazard_T, _id_rs2_data_hazard_T_1) @[src/main/scala/micore/Core.scala 94:32] node _id_rs2_data_hazard_T_3 = eq(id_rs2_addr_b, exe_reg_wb_addr) @[src/main/scala/micore/Core.scala 94:77] @@ -127,25 +127,17 @@ circuit TopOrigin : node _exe_alu_out_T_27 = lt(_exe_alu_out_T_25, _exe_alu_out_T_26) @[src/main/scala/micore/Core.scala 221:65] node _exe_alu_out_T_28 = eq(exe_reg_exe_fun, UInt<5>("ha")) @[src/main/scala/micore/Core.scala 222:24] node _exe_alu_out_T_29 = lt(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 222:59] - node _exe_alu_out_T_30 = eq(exe_reg_exe_fun, UInt<5>("hd")) @[src/main/scala/micore/Core.scala 223:24] - node _exe_alu_out_T_31 = add(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 223:60] - node _exe_alu_out_T_32 = tail(_exe_alu_out_T_31, 1) @[src/main/scala/micore/Core.scala 223:60] - node _exe_alu_out_T_33 = not(UInt<32>("h1")) @[src/main/scala/micore/Core.scala 223:83] - node _exe_alu_out_T_34 = and(_exe_alu_out_T_32, _exe_alu_out_T_33) @[src/main/scala/micore/Core.scala 223:80] - node _exe_alu_out_T_35 = eq(exe_reg_exe_fun, UInt<5>("he")) @[src/main/scala/micore/Core.scala 225:24] - node _exe_alu_out_T_36 = mux(_exe_alu_out_T_35, exe_reg_op1_data, UInt<32>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _exe_alu_out_T_37 = mux(_exe_alu_out_T_30, _exe_alu_out_T_34, _exe_alu_out_T_36) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _exe_alu_out_T_38 = mux(_exe_alu_out_T_28, _exe_alu_out_T_29, _exe_alu_out_T_37) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _exe_alu_out_T_39 = mux(_exe_alu_out_T_24, _exe_alu_out_T_27, _exe_alu_out_T_38) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _exe_alu_out_T_40 = mux(_exe_alu_out_T_19, _exe_alu_out_T_23, _exe_alu_out_T_39) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _exe_alu_out_T_41 = mux(_exe_alu_out_T_16, _exe_alu_out_T_18, _exe_alu_out_T_40) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _exe_alu_out_T_42 = mux(_exe_alu_out_T_12, _exe_alu_out_T_15, _exe_alu_out_T_41) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _exe_alu_out_T_43 = mux(_exe_alu_out_T_10, _exe_alu_out_T_11, _exe_alu_out_T_42) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _exe_alu_out_T_44 = mux(_exe_alu_out_T_8, _exe_alu_out_T_9, _exe_alu_out_T_43) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _exe_alu_out_T_45 = mux(_exe_alu_out_T_6, _exe_alu_out_T_7, _exe_alu_out_T_44) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _exe_alu_out_T_46 = mux(_exe_alu_out_T_3, _exe_alu_out_T_5, _exe_alu_out_T_45) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _exe_alu_out_T_47 = mux(_exe_alu_out_T, _exe_alu_out_T_2, _exe_alu_out_T_46) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node exe_alu_out = _exe_alu_out_T_47 @[src/main/scala/micore/Core.scala 201:15 63:25] + node _exe_alu_out_T_30 = mux(_exe_alu_out_T_28, _exe_alu_out_T_29, UInt<32>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _exe_alu_out_T_31 = mux(_exe_alu_out_T_24, _exe_alu_out_T_27, _exe_alu_out_T_30) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _exe_alu_out_T_32 = mux(_exe_alu_out_T_19, _exe_alu_out_T_23, _exe_alu_out_T_31) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _exe_alu_out_T_33 = mux(_exe_alu_out_T_16, _exe_alu_out_T_18, _exe_alu_out_T_32) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _exe_alu_out_T_34 = mux(_exe_alu_out_T_12, _exe_alu_out_T_15, _exe_alu_out_T_33) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _exe_alu_out_T_35 = mux(_exe_alu_out_T_10, _exe_alu_out_T_11, _exe_alu_out_T_34) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _exe_alu_out_T_36 = mux(_exe_alu_out_T_8, _exe_alu_out_T_9, _exe_alu_out_T_35) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _exe_alu_out_T_37 = mux(_exe_alu_out_T_6, _exe_alu_out_T_7, _exe_alu_out_T_36) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _exe_alu_out_T_38 = mux(_exe_alu_out_T_3, _exe_alu_out_T_5, _exe_alu_out_T_37) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _exe_alu_out_T_39 = mux(_exe_alu_out_T, _exe_alu_out_T_2, _exe_alu_out_T_38) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node exe_alu_out = _exe_alu_out_T_39 @[src/main/scala/micore/Core.scala 201:15 63:25] node _if_pc_next_T_1 = mux(exe_jmp_flg, exe_alu_out, _if_pc_next_T) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _exe_br_flg_T = eq(exe_reg_exe_fun, UInt<5>("hb")) @[src/main/scala/micore/Core.scala 232:24] node _exe_br_flg_T_1 = eq(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 232:57] @@ -162,10 +154,10 @@ circuit TopOrigin : node _id_reg_pc_T = mux(stall_flg, id_reg_pc, if_reg_pc) @[src/main/scala/micore/Core.scala 77:19] node _id_reg_inst_T = or(exe_br_flg, exe_jmp_flg) @[src/main/scala/micore/Core.scala 81:19] node _id_reg_inst_T_1 = mux(stall_flg, id_reg_inst, io_imem_inst) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _id_reg_inst_T_2 = mux(_id_reg_inst_T, UInt<32>("h0"), _id_reg_inst_T_1) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _id_reg_inst_T_2 = mux(_id_reg_inst_T, UInt<32>("h20000000"), _id_reg_inst_T_1) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _id_inst_T = or(exe_br_flg, exe_jmp_flg) @[src/main/scala/micore/Core.scala 98:21] node _id_inst_T_1 = or(_id_inst_T, stall_flg) @[src/main/scala/micore/Core.scala 98:36] - node id_inst = mux(_id_inst_T_1, UInt<32>("h0"), id_reg_inst) @[src/main/scala/micore/Core.scala 98:8] + node id_inst = mux(_id_inst_T_1, UInt<32>("h20000000"), id_reg_inst) @[src/main/scala/micore/Core.scala 98:8] node id_rs1_addr = bits(id_inst, 25, 21) @[src/main/scala/micore/Core.scala 100:28] node id_rs2_addr = bits(id_inst, 20, 16) @[src/main/scala/micore/Core.scala 101:28] node id_wb_addr = bits(id_inst, 15, 11) @[src/main/scala/micore/Core.scala 102:27] @@ -218,23 +210,23 @@ circuit TopOrigin : node _csignals_T_1 = eq(UInt<34>("h230000000"), _csignals_T) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_2 = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_3 = eq(UInt<34>("h2b0000000"), _csignals_T_2) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_4 = and(id_inst, UInt<32>("hfc0007ff")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_4 = and(id_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_5 = eq(UInt<6>("h20"), _csignals_T_4) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_6 = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_7 = eq(UInt<32>("h80000000"), _csignals_T_6) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_8 = and(id_inst, UInt<32>("hfc0007ff")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_8 = and(id_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_9 = eq(UInt<6>("h22"), _csignals_T_8) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_10 = and(id_inst, UInt<32>("hfc0007ff")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_10 = and(id_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_11 = eq(UInt<6>("h24"), _csignals_T_10) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_12 = and(id_inst, UInt<32>("hfc0007ff")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_12 = and(id_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_13 = eq(UInt<6>("h25"), _csignals_T_12) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_14 = and(id_inst, UInt<32>("hfc0007ff")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_14 = and(id_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_15 = eq(UInt<6>("h26"), _csignals_T_14) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_16 = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_17 = eq(UInt<32>("hc0000000"), _csignals_T_16) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_18 = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_19 = eq(UInt<32>("hd0000000"), _csignals_T_18) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_20 = and(id_inst, UInt<32>("hfc0007ff")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_20 = and(id_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_21 = eq(UInt<6>("h2a"), _csignals_T_20) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_22 = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_23 = eq(UInt<31>("h40000000"), _csignals_T_22) @[src/main/scala/chisel3/util/Lookup.scala 31:38] @@ -246,134 +238,126 @@ circuit TopOrigin : node _csignals_T_29 = eq(UInt<2>("h2"), _csignals_T_28) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_30 = and(id_inst, UInt<32>("hffe0003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_31 = eq(UInt<2>("h3"), _csignals_T_30) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_32 = and(id_inst, UInt<34>("h3f000ffff")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_32 = and(id_inst, UInt<34>("h3f000003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_33 = eq(UInt<4>("h8"), _csignals_T_32) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_34 = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_35 = eq(UInt<30>("h30000000"), _csignals_T_34) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_36 = and(id_inst, UInt<34>("h3ff800000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_37 = eq(UInt<32>("hf0000000"), _csignals_T_36) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_38 = and(id_inst, UInt<32>("hffffffff")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_39 = eq(UInt<1>("h0"), _csignals_T_38) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_40 = mux(_csignals_T_39, UInt<5>("h0"), UInt<5>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_41 = mux(_csignals_T_37, UInt<5>("h0"), _csignals_T_40) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_42 = mux(_csignals_T_35, UInt<5>("h0"), _csignals_T_41) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_43 = mux(_csignals_T_33, UInt<5>("h0"), _csignals_T_42) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_44 = mux(_csignals_T_31, UInt<5>("h8"), _csignals_T_43) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_45 = mux(_csignals_T_29, UInt<5>("h7"), _csignals_T_44) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_46 = mux(_csignals_T_27, UInt<5>("h6"), _csignals_T_45) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_47 = mux(_csignals_T_25, UInt<5>("hc"), _csignals_T_46) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_48 = mux(_csignals_T_23, UInt<5>("hb"), _csignals_T_47) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_49 = mux(_csignals_T_21, UInt<5>("h9"), _csignals_T_48) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_50 = mux(_csignals_T_19, UInt<5>("h4"), _csignals_T_49) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_51 = mux(_csignals_T_17, UInt<5>("h3"), _csignals_T_50) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_52 = mux(_csignals_T_15, UInt<5>("h5"), _csignals_T_51) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_53 = mux(_csignals_T_13, UInt<5>("h4"), _csignals_T_52) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_54 = mux(_csignals_T_11, UInt<5>("h3"), _csignals_T_53) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_55 = mux(_csignals_T_9, UInt<5>("h2"), _csignals_T_54) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_56 = mux(_csignals_T_7, UInt<5>("h1"), _csignals_T_55) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_57 = mux(_csignals_T_5, UInt<5>("h1"), _csignals_T_56) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_58 = mux(_csignals_T_3, UInt<5>("h1"), _csignals_T_57) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node csignals_0 = mux(_csignals_T_1, UInt<5>("h1"), _csignals_T_58) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_59 = mux(_csignals_T_39, UInt<2>("h0"), UInt<2>("h1")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_60 = mux(_csignals_T_37, UInt<2>("h0"), _csignals_T_59) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_61 = mux(_csignals_T_35, UInt<2>("h2"), _csignals_T_60) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_62 = mux(_csignals_T_33, UInt<2>("h1"), _csignals_T_61) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_63 = mux(_csignals_T_31, UInt<2>("h1"), _csignals_T_62) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_64 = mux(_csignals_T_29, UInt<2>("h1"), _csignals_T_63) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_65 = mux(_csignals_T_27, UInt<2>("h1"), _csignals_T_64) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_66 = mux(_csignals_T_25, UInt<2>("h1"), _csignals_T_65) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_67 = mux(_csignals_T_23, UInt<2>("h1"), _csignals_T_66) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_68 = mux(_csignals_T_21, UInt<2>("h1"), _csignals_T_67) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_69 = mux(_csignals_T_19, UInt<2>("h1"), _csignals_T_68) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_70 = mux(_csignals_T_17, UInt<2>("h1"), _csignals_T_69) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_71 = mux(_csignals_T_15, UInt<2>("h1"), _csignals_T_70) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_72 = mux(_csignals_T_13, UInt<2>("h1"), _csignals_T_71) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_73 = mux(_csignals_T_11, UInt<2>("h1"), _csignals_T_72) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_74 = mux(_csignals_T_9, UInt<2>("h1"), _csignals_T_73) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_75 = mux(_csignals_T_7, UInt<2>("h1"), _csignals_T_74) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_76 = mux(_csignals_T_5, UInt<2>("h1"), _csignals_T_75) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_77 = mux(_csignals_T_3, UInt<2>("h1"), _csignals_T_76) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node csignals_1 = mux(_csignals_T_1, UInt<2>("h1"), _csignals_T_77) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_78 = mux(_csignals_T_39, UInt<3>("h0"), UInt<3>("h1")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_79 = mux(_csignals_T_37, UInt<3>("h5"), _csignals_T_78) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_80 = mux(_csignals_T_35, UInt<3>("h0"), _csignals_T_79) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_81 = mux(_csignals_T_33, UInt<3>("h0"), _csignals_T_80) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_82 = mux(_csignals_T_31, UInt<3>("h1"), _csignals_T_81) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_83 = mux(_csignals_T_29, UInt<3>("h1"), _csignals_T_82) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_84 = mux(_csignals_T_27, UInt<3>("h1"), _csignals_T_83) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_85 = mux(_csignals_T_25, UInt<3>("h1"), _csignals_T_84) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_86 = mux(_csignals_T_23, UInt<3>("h1"), _csignals_T_85) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_87 = mux(_csignals_T_21, UInt<3>("h1"), _csignals_T_86) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_88 = mux(_csignals_T_19, UInt<3>("h2"), _csignals_T_87) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_89 = mux(_csignals_T_17, UInt<3>("h2"), _csignals_T_88) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_90 = mux(_csignals_T_15, UInt<3>("h1"), _csignals_T_89) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_91 = mux(_csignals_T_13, UInt<3>("h1"), _csignals_T_90) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_92 = mux(_csignals_T_11, UInt<3>("h1"), _csignals_T_91) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_93 = mux(_csignals_T_9, UInt<3>("h1"), _csignals_T_92) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_94 = mux(_csignals_T_7, UInt<3>("h2"), _csignals_T_93) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_95 = mux(_csignals_T_5, UInt<3>("h1"), _csignals_T_94) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_96 = mux(_csignals_T_3, UInt<3>("h2"), _csignals_T_95) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node csignals_2 = mux(_csignals_T_1, UInt<3>("h2"), _csignals_T_96) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_97 = mux(_csignals_T_39, UInt<2>("h0"), UInt<2>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_98 = mux(_csignals_T_37, UInt<2>("h0"), _csignals_T_97) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_99 = mux(_csignals_T_35, UInt<2>("h0"), _csignals_T_98) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_100 = mux(_csignals_T_33, UInt<2>("h0"), _csignals_T_99) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_101 = mux(_csignals_T_31, UInt<2>("h0"), _csignals_T_100) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_102 = mux(_csignals_T_29, UInt<2>("h0"), _csignals_T_101) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_103 = mux(_csignals_T_27, UInt<2>("h0"), _csignals_T_102) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_104 = mux(_csignals_T_25, UInt<2>("h0"), _csignals_T_103) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_105 = mux(_csignals_T_23, UInt<2>("h0"), _csignals_T_104) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_106 = mux(_csignals_T_21, UInt<2>("h0"), _csignals_T_105) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_107 = mux(_csignals_T_19, UInt<2>("h0"), _csignals_T_106) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_108 = mux(_csignals_T_17, UInt<2>("h0"), _csignals_T_107) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_109 = mux(_csignals_T_15, UInt<2>("h0"), _csignals_T_108) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_110 = mux(_csignals_T_13, UInt<2>("h0"), _csignals_T_109) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_111 = mux(_csignals_T_11, UInt<2>("h0"), _csignals_T_110) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_112 = mux(_csignals_T_9, UInt<2>("h0"), _csignals_T_111) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_113 = mux(_csignals_T_7, UInt<2>("h0"), _csignals_T_112) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_114 = mux(_csignals_T_5, UInt<2>("h0"), _csignals_T_113) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_115 = mux(_csignals_T_3, UInt<2>("h1"), _csignals_T_114) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node csignals_3 = mux(_csignals_T_1, UInt<2>("h1"), _csignals_T_115) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_116 = mux(_csignals_T_39, UInt<2>("h0"), UInt<2>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_117 = mux(_csignals_T_37, UInt<2>("h0"), _csignals_T_116) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_118 = mux(_csignals_T_35, UInt<2>("h0"), _csignals_T_117) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_119 = mux(_csignals_T_33, UInt<2>("h0"), _csignals_T_118) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_120 = mux(_csignals_T_31, UInt<2>("h0"), _csignals_T_119) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_121 = mux(_csignals_T_29, UInt<2>("h0"), _csignals_T_120) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_122 = mux(_csignals_T_27, UInt<2>("h0"), _csignals_T_121) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_123 = mux(_csignals_T_25, UInt<2>("h0"), _csignals_T_122) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_124 = mux(_csignals_T_23, UInt<2>("h0"), _csignals_T_123) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_125 = mux(_csignals_T_21, UInt<2>("h0"), _csignals_T_124) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_126 = mux(_csignals_T_19, UInt<2>("h0"), _csignals_T_125) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_127 = mux(_csignals_T_17, UInt<2>("h0"), _csignals_T_126) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_128 = mux(_csignals_T_15, UInt<2>("h0"), _csignals_T_127) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_129 = mux(_csignals_T_13, UInt<2>("h0"), _csignals_T_128) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_130 = mux(_csignals_T_11, UInt<2>("h0"), _csignals_T_129) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_131 = mux(_csignals_T_9, UInt<2>("h0"), _csignals_T_130) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_132 = mux(_csignals_T_7, UInt<2>("h0"), _csignals_T_131) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_133 = mux(_csignals_T_5, UInt<2>("h0"), _csignals_T_132) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_134 = mux(_csignals_T_3, UInt<2>("h1"), _csignals_T_133) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node csignals_4 = mux(_csignals_T_1, UInt<2>("h1"), _csignals_T_134) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_135 = mux(_csignals_T_39, UInt<3>("h0"), UInt<3>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_136 = mux(_csignals_T_37, UInt<3>("h1"), _csignals_T_135) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_137 = mux(_csignals_T_35, UInt<3>("h0"), _csignals_T_136) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_138 = mux(_csignals_T_33, UInt<3>("h0"), _csignals_T_137) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_139 = mux(_csignals_T_31, UInt<3>("h1"), _csignals_T_138) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_140 = mux(_csignals_T_29, UInt<3>("h1"), _csignals_T_139) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_141 = mux(_csignals_T_27, UInt<3>("h1"), _csignals_T_140) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_142 = mux(_csignals_T_25, UInt<3>("h0"), _csignals_T_141) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_143 = mux(_csignals_T_23, UInt<3>("h0"), _csignals_T_142) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_144 = mux(_csignals_T_21, UInt<3>("h1"), _csignals_T_143) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_145 = mux(_csignals_T_19, UInt<3>("h1"), _csignals_T_144) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_146 = mux(_csignals_T_17, UInt<3>("h1"), _csignals_T_145) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_147 = mux(_csignals_T_15, UInt<3>("h1"), _csignals_T_146) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_148 = mux(_csignals_T_13, UInt<3>("h1"), _csignals_T_147) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_149 = mux(_csignals_T_11, UInt<3>("h1"), _csignals_T_148) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_150 = mux(_csignals_T_9, UInt<3>("h1"), _csignals_T_149) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_151 = mux(_csignals_T_7, UInt<3>("h1"), _csignals_T_150) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_152 = mux(_csignals_T_5, UInt<3>("h1"), _csignals_T_151) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _csignals_T_153 = mux(_csignals_T_3, UInt<3>("h2"), _csignals_T_152) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node csignals_5 = mux(_csignals_T_1, UInt<3>("h2"), _csignals_T_153) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_36 = and(id_inst, UInt<32>("hffffffff")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_37 = eq(UInt<30>("h20000000"), _csignals_T_36) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_38 = mux(_csignals_T_37, UInt<5>("h0"), UInt<5>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_39 = mux(_csignals_T_35, UInt<5>("h0"), _csignals_T_38) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_40 = mux(_csignals_T_33, UInt<5>("h0"), _csignals_T_39) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_41 = mux(_csignals_T_31, UInt<5>("h8"), _csignals_T_40) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_42 = mux(_csignals_T_29, UInt<5>("h7"), _csignals_T_41) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_43 = mux(_csignals_T_27, UInt<5>("h6"), _csignals_T_42) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_44 = mux(_csignals_T_25, UInt<5>("hc"), _csignals_T_43) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_45 = mux(_csignals_T_23, UInt<5>("hb"), _csignals_T_44) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_46 = mux(_csignals_T_21, UInt<5>("h9"), _csignals_T_45) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_47 = mux(_csignals_T_19, UInt<5>("h4"), _csignals_T_46) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_48 = mux(_csignals_T_17, UInt<5>("h3"), _csignals_T_47) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_49 = mux(_csignals_T_15, UInt<5>("h5"), _csignals_T_48) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_50 = mux(_csignals_T_13, UInt<5>("h4"), _csignals_T_49) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_51 = mux(_csignals_T_11, UInt<5>("h3"), _csignals_T_50) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_52 = mux(_csignals_T_9, UInt<5>("h2"), _csignals_T_51) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_53 = mux(_csignals_T_7, UInt<5>("h1"), _csignals_T_52) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_54 = mux(_csignals_T_5, UInt<5>("h1"), _csignals_T_53) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_55 = mux(_csignals_T_3, UInt<5>("h1"), _csignals_T_54) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node csignals_0 = mux(_csignals_T_1, UInt<5>("h1"), _csignals_T_55) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_56 = mux(_csignals_T_37, UInt<2>("h0"), UInt<2>("h1")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_57 = mux(_csignals_T_35, UInt<2>("h2"), _csignals_T_56) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_58 = mux(_csignals_T_33, UInt<2>("h1"), _csignals_T_57) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_59 = mux(_csignals_T_31, UInt<2>("h1"), _csignals_T_58) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_60 = mux(_csignals_T_29, UInt<2>("h1"), _csignals_T_59) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_61 = mux(_csignals_T_27, UInt<2>("h1"), _csignals_T_60) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_62 = mux(_csignals_T_25, UInt<2>("h1"), _csignals_T_61) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_63 = mux(_csignals_T_23, UInt<2>("h1"), _csignals_T_62) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_64 = mux(_csignals_T_21, UInt<2>("h1"), _csignals_T_63) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_65 = mux(_csignals_T_19, UInt<2>("h1"), _csignals_T_64) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_66 = mux(_csignals_T_17, UInt<2>("h1"), _csignals_T_65) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_67 = mux(_csignals_T_15, UInt<2>("h1"), _csignals_T_66) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_68 = mux(_csignals_T_13, UInt<2>("h1"), _csignals_T_67) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_69 = mux(_csignals_T_11, UInt<2>("h1"), _csignals_T_68) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_70 = mux(_csignals_T_9, UInt<2>("h1"), _csignals_T_69) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_71 = mux(_csignals_T_7, UInt<2>("h1"), _csignals_T_70) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_72 = mux(_csignals_T_5, UInt<2>("h1"), _csignals_T_71) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_73 = mux(_csignals_T_3, UInt<2>("h1"), _csignals_T_72) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node csignals_1 = mux(_csignals_T_1, UInt<2>("h1"), _csignals_T_73) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_74 = mux(_csignals_T_37, UInt<3>("h0"), UInt<3>("h1")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_75 = mux(_csignals_T_35, UInt<3>("h0"), _csignals_T_74) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_76 = mux(_csignals_T_33, UInt<3>("h0"), _csignals_T_75) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_77 = mux(_csignals_T_31, UInt<3>("h1"), _csignals_T_76) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_78 = mux(_csignals_T_29, UInt<3>("h1"), _csignals_T_77) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_79 = mux(_csignals_T_27, UInt<3>("h1"), _csignals_T_78) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_80 = mux(_csignals_T_25, UInt<3>("h1"), _csignals_T_79) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_81 = mux(_csignals_T_23, UInt<3>("h1"), _csignals_T_80) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_82 = mux(_csignals_T_21, UInt<3>("h1"), _csignals_T_81) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_83 = mux(_csignals_T_19, UInt<3>("h2"), _csignals_T_82) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_84 = mux(_csignals_T_17, UInt<3>("h2"), _csignals_T_83) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_85 = mux(_csignals_T_15, UInt<3>("h1"), _csignals_T_84) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_86 = mux(_csignals_T_13, UInt<3>("h1"), _csignals_T_85) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_87 = mux(_csignals_T_11, UInt<3>("h1"), _csignals_T_86) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_88 = mux(_csignals_T_9, UInt<3>("h1"), _csignals_T_87) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_89 = mux(_csignals_T_7, UInt<3>("h2"), _csignals_T_88) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_90 = mux(_csignals_T_5, UInt<3>("h1"), _csignals_T_89) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_91 = mux(_csignals_T_3, UInt<3>("h2"), _csignals_T_90) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node csignals_2 = mux(_csignals_T_1, UInt<3>("h2"), _csignals_T_91) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_92 = mux(_csignals_T_37, UInt<2>("h0"), UInt<2>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_93 = mux(_csignals_T_35, UInt<2>("h0"), _csignals_T_92) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_94 = mux(_csignals_T_33, UInt<2>("h0"), _csignals_T_93) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_95 = mux(_csignals_T_31, UInt<2>("h0"), _csignals_T_94) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_96 = mux(_csignals_T_29, UInt<2>("h0"), _csignals_T_95) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_97 = mux(_csignals_T_27, UInt<2>("h0"), _csignals_T_96) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_98 = mux(_csignals_T_25, UInt<2>("h0"), _csignals_T_97) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_99 = mux(_csignals_T_23, UInt<2>("h0"), _csignals_T_98) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_100 = mux(_csignals_T_21, UInt<2>("h0"), _csignals_T_99) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_101 = mux(_csignals_T_19, UInt<2>("h0"), _csignals_T_100) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_102 = mux(_csignals_T_17, UInt<2>("h0"), _csignals_T_101) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_103 = mux(_csignals_T_15, UInt<2>("h0"), _csignals_T_102) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_104 = mux(_csignals_T_13, UInt<2>("h0"), _csignals_T_103) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_105 = mux(_csignals_T_11, UInt<2>("h0"), _csignals_T_104) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_106 = mux(_csignals_T_9, UInt<2>("h0"), _csignals_T_105) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_107 = mux(_csignals_T_7, UInt<2>("h0"), _csignals_T_106) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_108 = mux(_csignals_T_5, UInt<2>("h0"), _csignals_T_107) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_109 = mux(_csignals_T_3, UInt<2>("h1"), _csignals_T_108) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node csignals_3 = mux(_csignals_T_1, UInt<2>("h1"), _csignals_T_109) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_110 = mux(_csignals_T_37, UInt<2>("h0"), UInt<2>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_111 = mux(_csignals_T_35, UInt<2>("h0"), _csignals_T_110) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_112 = mux(_csignals_T_33, UInt<2>("h0"), _csignals_T_111) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_113 = mux(_csignals_T_31, UInt<2>("h0"), _csignals_T_112) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_114 = mux(_csignals_T_29, UInt<2>("h0"), _csignals_T_113) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_115 = mux(_csignals_T_27, UInt<2>("h0"), _csignals_T_114) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_116 = mux(_csignals_T_25, UInt<2>("h0"), _csignals_T_115) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_117 = mux(_csignals_T_23, UInt<2>("h0"), _csignals_T_116) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_118 = mux(_csignals_T_21, UInt<2>("h0"), _csignals_T_117) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_119 = mux(_csignals_T_19, UInt<2>("h0"), _csignals_T_118) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_120 = mux(_csignals_T_17, UInt<2>("h0"), _csignals_T_119) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_121 = mux(_csignals_T_15, UInt<2>("h0"), _csignals_T_120) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_122 = mux(_csignals_T_13, UInt<2>("h0"), _csignals_T_121) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_123 = mux(_csignals_T_11, UInt<2>("h0"), _csignals_T_122) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_124 = mux(_csignals_T_9, UInt<2>("h0"), _csignals_T_123) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_125 = mux(_csignals_T_7, UInt<2>("h0"), _csignals_T_124) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_126 = mux(_csignals_T_5, UInt<2>("h0"), _csignals_T_125) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_127 = mux(_csignals_T_3, UInt<2>("h1"), _csignals_T_126) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node csignals_4 = mux(_csignals_T_1, UInt<2>("h1"), _csignals_T_127) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_128 = mux(_csignals_T_37, UInt<3>("h0"), UInt<3>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_129 = mux(_csignals_T_35, UInt<3>("h0"), _csignals_T_128) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_130 = mux(_csignals_T_33, UInt<3>("h0"), _csignals_T_129) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_131 = mux(_csignals_T_31, UInt<3>("h1"), _csignals_T_130) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_132 = mux(_csignals_T_29, UInt<3>("h1"), _csignals_T_131) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_133 = mux(_csignals_T_27, UInt<3>("h1"), _csignals_T_132) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_134 = mux(_csignals_T_25, UInt<3>("h0"), _csignals_T_133) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_135 = mux(_csignals_T_23, UInt<3>("h0"), _csignals_T_134) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_136 = mux(_csignals_T_21, UInt<3>("h1"), _csignals_T_135) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_137 = mux(_csignals_T_19, UInt<3>("h1"), _csignals_T_136) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_138 = mux(_csignals_T_17, UInt<3>("h1"), _csignals_T_137) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_139 = mux(_csignals_T_15, UInt<3>("h1"), _csignals_T_138) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_140 = mux(_csignals_T_13, UInt<3>("h1"), _csignals_T_139) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_141 = mux(_csignals_T_11, UInt<3>("h1"), _csignals_T_140) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_142 = mux(_csignals_T_9, UInt<3>("h1"), _csignals_T_141) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_143 = mux(_csignals_T_7, UInt<3>("h1"), _csignals_T_142) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_144 = mux(_csignals_T_5, UInt<3>("h1"), _csignals_T_143) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_145 = mux(_csignals_T_3, UInt<3>("h2"), _csignals_T_144) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node csignals_5 = mux(_csignals_T_1, UInt<3>("h2"), _csignals_T_145) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node _id_op1_data_T = eq(csignals_1, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 171:19] node _id_op1_data_T_1 = eq(csignals_1, UInt<2>("h2")) @[src/main/scala/micore/Core.scala 172:19] node _id_op1_data_T_2 = mux(_id_op1_data_T_1, id_reg_pc, UInt<32>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] @@ -423,6 +407,12 @@ circuit TopOrigin : node _T_28 = eq(_T_27, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 288:9] node _T_29 = asUInt(reset) @[src/main/scala/micore/Core.scala 289:9] node _T_30 = eq(_T_29, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 289:9] + node _T_31 = asUInt(reset) @[src/main/scala/micore/Core.scala 290:9] + node _T_32 = eq(_T_31, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 290:9] + node _T_33 = asUInt(reset) @[src/main/scala/micore/Core.scala 291:9] + node _T_34 = eq(_T_33, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 291:9] + node _T_35 = asUInt(reset) @[src/main/scala/micore/Core.scala 292:9] + node _T_36 = eq(_T_35, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 292:9] io_imem_addr <= if_reg_pc @[src/main/scala/micore/Core.scala 56:16] io_dmem_addr <= mem_reg_alu_out @[src/main/scala/micore/Core.scala 253:16] io_dmem_wen <= bits(mem_reg_mem_wen, 0, 0) @[src/main/scala/micore/Core.scala 254:15] @@ -467,7 +457,7 @@ circuit TopOrigin : wb_reg_wb_addr <= mux(reset, UInt<5>("h0"), mem_reg_wb_addr) @[src/main/scala/micore/Core.scala 268:18 50:{31,31}] wb_reg_rf_wen <= mux(reset, UInt<2>("h0"), mem_reg_rf_wen) @[src/main/scala/micore/Core.scala 267:17 51:{30,30}] wb_reg_wb_data <= mux(reset, UInt<32>("h0"), mem_wb_data) @[src/main/scala/micore/Core.scala 266:18 52:{31,31}] - if_reg_pc <= mux(reset, UInt<32>("h400000"), if_pc_next) @[src/main/scala/micore/Core.scala 55:{26,26} 74:13] + if_reg_pc <= mux(reset, UInt<32>("h0"), if_pc_next) @[src/main/scala/micore/Core.scala 55:{26,26} 74:13] printf(clock, and(and(UInt<1>("h1"), _T_2), UInt<1>("h1")), "---------------------\n") : printf @[src/main/scala/micore/Core.scala 275:9] printf(clock, and(and(UInt<1>("h1"), _T_4), UInt<1>("h1")), "if_reg_pc: 0x%x\n", if_reg_pc) : printf_1 @[src/main/scala/micore/Core.scala 276:9] printf(clock, and(and(UInt<1>("h1"), _T_6), UInt<1>("h1")), "id_reg_pc: 0x%x\n", id_reg_pc) : printf_2 @[src/main/scala/micore/Core.scala 277:9] @@ -475,14 +465,17 @@ circuit TopOrigin : printf(clock, and(and(UInt<1>("h1"), _T_10), UInt<1>("h1")), "id_inst: 0x%x\n", id_inst) : printf_4 @[src/main/scala/micore/Core.scala 279:9] printf(clock, and(and(UInt<1>("h1"), _T_12), UInt<1>("h1")), "id_rs1_data: 0x%x\n", id_rs1_data) : printf_5 @[src/main/scala/micore/Core.scala 280:9] printf(clock, and(and(UInt<1>("h1"), _T_14), UInt<1>("h1")), "id_rs2_data: 0x%x\n", id_rs2_data) : printf_6 @[src/main/scala/micore/Core.scala 281:9] - printf(clock, and(and(UInt<1>("h1"), _T_16), UInt<1>("h1")), "exe_reg_pc: 0x%x\n", exe_reg_pc) : printf_7 @[src/main/scala/micore/Core.scala 282:9] - printf(clock, and(and(UInt<1>("h1"), _T_18), UInt<1>("h1")), "exe_reg_op1_data: 0x%x\n", id_op1_data) : printf_8 @[src/main/scala/micore/Core.scala 283:9] - printf(clock, and(and(UInt<1>("h1"), _T_20), UInt<1>("h1")), "exe_reg_op2_data: 0x%x\n", id_op2_data) : printf_9 @[src/main/scala/micore/Core.scala 284:9] - printf(clock, and(and(UInt<1>("h1"), _T_22), UInt<1>("h1")), "exe_alu_out: 0x%x\n", exe_alu_out) : printf_10 @[src/main/scala/micore/Core.scala 285:9] - printf(clock, and(and(UInt<1>("h1"), _T_24), UInt<1>("h1")), "mem_reg_pc: 0x%x\n", mem_reg_pc) : printf_11 @[src/main/scala/micore/Core.scala 286:9] - printf(clock, and(and(UInt<1>("h1"), _T_26), UInt<1>("h1")), "mem_wb_data: 0x%x\n", mem_wb_data) : printf_12 @[src/main/scala/micore/Core.scala 287:9] - printf(clock, and(and(UInt<1>("h1"), _T_28), UInt<1>("h1")), "wb_reg_wb_data: 0x%x\n", wb_reg_wb_data) : printf_13 @[src/main/scala/micore/Core.scala 288:9] - printf(clock, and(and(UInt<1>("h1"), _T_30), UInt<1>("h1")), "---------------------\n") : printf_14 @[src/main/scala/micore/Core.scala 289:9] + printf(clock, and(and(UInt<1>("h1"), _T_16), UInt<1>("h1")), "id_exe_fun: 0x%x\n", csignals_0) : printf_7 @[src/main/scala/micore/Core.scala 282:9] + printf(clock, and(and(UInt<1>("h1"), _T_18), UInt<1>("h1")), "id_op1_sel: 0x%x\n", csignals_1) : printf_8 @[src/main/scala/micore/Core.scala 283:9] + printf(clock, and(and(UInt<1>("h1"), _T_20), UInt<1>("h1")), "id_op2_sel: 0x%x\n", csignals_2) : printf_9 @[src/main/scala/micore/Core.scala 284:9] + printf(clock, and(and(UInt<1>("h1"), _T_22), UInt<1>("h1")), "exe_reg_pc: 0x%x\n", exe_reg_pc) : printf_10 @[src/main/scala/micore/Core.scala 285:9] + printf(clock, and(and(UInt<1>("h1"), _T_24), UInt<1>("h1")), "exe_reg_op1_data: 0x%x\n", id_op1_data) : printf_11 @[src/main/scala/micore/Core.scala 286:9] + printf(clock, and(and(UInt<1>("h1"), _T_26), UInt<1>("h1")), "exe_reg_op2_data: 0x%x\n", id_op2_data) : printf_12 @[src/main/scala/micore/Core.scala 287:9] + printf(clock, and(and(UInt<1>("h1"), _T_28), UInt<1>("h1")), "exe_alu_out: 0x%x\n", exe_alu_out) : printf_13 @[src/main/scala/micore/Core.scala 288:9] + printf(clock, and(and(UInt<1>("h1"), _T_30), UInt<1>("h1")), "mem_reg_pc: 0x%x\n", mem_reg_pc) : printf_14 @[src/main/scala/micore/Core.scala 289:9] + printf(clock, and(and(UInt<1>("h1"), _T_32), UInt<1>("h1")), "mem_wb_data: 0x%x\n", mem_wb_data) : printf_15 @[src/main/scala/micore/Core.scala 290:9] + printf(clock, and(and(UInt<1>("h1"), _T_34), UInt<1>("h1")), "wb_reg_wb_data: 0x%x\n", wb_reg_wb_data) : printf_16 @[src/main/scala/micore/Core.scala 291:9] + printf(clock, and(and(UInt<1>("h1"), _T_36), UInt<1>("h1")), "---------------------\n") : printf_17 @[src/main/scala/micore/Core.scala 292:9] module Memory : @[src/main/scala/micore/Memory.scala 24:7] input clock : Clock @[src/main/scala/micore/Memory.scala 24:7] diff --git a/test_run_dir/Sicore_should_run_the_C_program/TopOrigin.lo.fir b/test_run_dir/Sicore_should_run_the_C_program/TopOrigin.lo.fir new file mode 100755 index 0000000..0176dec --- /dev/null +++ b/test_run_dir/Sicore_should_run_the_C_program/TopOrigin.lo.fir @@ -0,0 +1,452 @@ +FIRRTL version 1.2.0 +circuit TopOrigin : + module Core : @[src/main/scala/sicore/Core.scala 8:7] + input clock : Clock @[src/main/scala/sicore/Core.scala 8:7] + input reset : UInt<1> @[src/main/scala/sicore/Core.scala 8:7] + output io_imem_addr : UInt<32> @[src/main/scala/sicore/Core.scala 9:14] + input io_imem_inst : UInt<32> @[src/main/scala/sicore/Core.scala 9:14] + output io_dmem_addr : UInt<32> @[src/main/scala/sicore/Core.scala 9:14] + input io_dmem_rdata : UInt<32> @[src/main/scala/sicore/Core.scala 9:14] + output io_dmem_wen : UInt<1> @[src/main/scala/sicore/Core.scala 9:14] + output io_dmem_wdata : UInt<32> @[src/main/scala/sicore/Core.scala 9:14] + output io_exit : UInt<1> @[src/main/scala/sicore/Core.scala 9:14] + + mem regfile : @[src/main/scala/sicore/Core.scala 16:20] + data-type => UInt<32> + depth => 32 + read-latency => 0 + write-latency => 1 + reader => rs_data_MPORT + reader => rt_data_MPORT + writer => MPORT + read-under-write => undefined + reg pc_reg : UInt<32>, clock with : + reset => (UInt<1>("h0"), pc_reg) @[src/main/scala/sicore/Core.scala 19:23] + node _pc_plus4_T = add(pc_reg, UInt<32>("h4")) @[src/main/scala/sicore/Core.scala 22:25] + node pc_plus4 = tail(_pc_plus4_T, 1) @[src/main/scala/sicore/Core.scala 22:25] + node _jmp_flg_T = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/sicore/Core.scala 25:23] + node _jmp_flg_T_1 = eq(UInt<30>("h30000000"), _jmp_flg_T) @[src/main/scala/sicore/Core.scala 25:23] + node _jmp_flg_T_2 = and(io_imem_inst, UInt<34>("h3f000003f")) @[src/main/scala/sicore/Core.scala 25:39] + node _jmp_flg_T_3 = eq(UInt<4>("h8"), _jmp_flg_T_2) @[src/main/scala/sicore/Core.scala 25:39] + node jmp_flg = or(_jmp_flg_T_1, _jmp_flg_T_3) @[src/main/scala/sicore/Core.scala 25:31] + node _csignals_T = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_1 = eq(UInt<34>("h230000000"), _csignals_T) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_2 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_3 = eq(UInt<34>("h2b0000000"), _csignals_T_2) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_4 = and(io_imem_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_5 = eq(UInt<6>("h20"), _csignals_T_4) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_6 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_7 = eq(UInt<32>("h80000000"), _csignals_T_6) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_8 = and(io_imem_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_9 = eq(UInt<6>("h22"), _csignals_T_8) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_10 = and(io_imem_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_11 = eq(UInt<6>("h24"), _csignals_T_10) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_12 = and(io_imem_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_13 = eq(UInt<6>("h25"), _csignals_T_12) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_14 = and(io_imem_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_15 = eq(UInt<6>("h26"), _csignals_T_14) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_16 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_17 = eq(UInt<32>("hc0000000"), _csignals_T_16) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_18 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_19 = eq(UInt<32>("hd0000000"), _csignals_T_18) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_20 = and(io_imem_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_21 = eq(UInt<6>("h2a"), _csignals_T_20) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_22 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_23 = eq(UInt<31>("h40000000"), _csignals_T_22) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_24 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_25 = eq(UInt<31>("h50000000"), _csignals_T_24) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_26 = and(io_imem_inst, UInt<32>("hffe0003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_27 = eq(UInt<1>("h0"), _csignals_T_26) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_28 = and(io_imem_inst, UInt<32>("hffe0003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_29 = eq(UInt<2>("h2"), _csignals_T_28) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_30 = and(io_imem_inst, UInt<32>("hffe0003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_31 = eq(UInt<2>("h3"), _csignals_T_30) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_32 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_33 = eq(UInt<30>("h30000000"), _csignals_T_32) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_34 = and(io_imem_inst, UInt<34>("h3f000003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_35 = eq(UInt<4>("h8"), _csignals_T_34) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_36 = mux(_csignals_T_35, UInt<5>("hd"), UInt<5>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_37 = mux(_csignals_T_33, UInt<5>("h1"), _csignals_T_36) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_38 = mux(_csignals_T_31, UInt<5>("h8"), _csignals_T_37) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_39 = mux(_csignals_T_29, UInt<5>("h7"), _csignals_T_38) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_40 = mux(_csignals_T_27, UInt<5>("h6"), _csignals_T_39) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_41 = mux(_csignals_T_25, UInt<5>("hc"), _csignals_T_40) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_42 = mux(_csignals_T_23, UInt<5>("hb"), _csignals_T_41) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_43 = mux(_csignals_T_21, UInt<5>("h9"), _csignals_T_42) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_44 = mux(_csignals_T_19, UInt<5>("h4"), _csignals_T_43) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_45 = mux(_csignals_T_17, UInt<5>("h3"), _csignals_T_44) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_46 = mux(_csignals_T_15, UInt<5>("h5"), _csignals_T_45) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_47 = mux(_csignals_T_13, UInt<5>("h4"), _csignals_T_46) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_48 = mux(_csignals_T_11, UInt<5>("h3"), _csignals_T_47) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_49 = mux(_csignals_T_9, UInt<5>("h2"), _csignals_T_48) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_50 = mux(_csignals_T_7, UInt<5>("h1"), _csignals_T_49) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_51 = mux(_csignals_T_5, UInt<5>("h1"), _csignals_T_50) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_52 = mux(_csignals_T_3, UInt<5>("h1"), _csignals_T_51) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node csignals_0 = mux(_csignals_T_1, UInt<5>("h1"), _csignals_T_52) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _alu_out_T = eq(csignals_0, UInt<5>("h1")) @[src/main/scala/sicore/Core.scala 97:16] + node _csignals_T_53 = mux(_csignals_T_35, UInt<2>("h1"), UInt<2>("h1")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_54 = mux(_csignals_T_33, UInt<2>("h2"), _csignals_T_53) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_55 = mux(_csignals_T_31, UInt<2>("h1"), _csignals_T_54) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_56 = mux(_csignals_T_29, UInt<2>("h1"), _csignals_T_55) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_57 = mux(_csignals_T_27, UInt<2>("h1"), _csignals_T_56) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_58 = mux(_csignals_T_25, UInt<2>("h1"), _csignals_T_57) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_59 = mux(_csignals_T_23, UInt<2>("h1"), _csignals_T_58) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_60 = mux(_csignals_T_21, UInt<2>("h1"), _csignals_T_59) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_61 = mux(_csignals_T_19, UInt<2>("h1"), _csignals_T_60) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_62 = mux(_csignals_T_17, UInt<2>("h1"), _csignals_T_61) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_63 = mux(_csignals_T_15, UInt<2>("h1"), _csignals_T_62) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_64 = mux(_csignals_T_13, UInt<2>("h1"), _csignals_T_63) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_65 = mux(_csignals_T_11, UInt<2>("h1"), _csignals_T_64) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_66 = mux(_csignals_T_9, UInt<2>("h1"), _csignals_T_65) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_67 = mux(_csignals_T_7, UInt<2>("h1"), _csignals_T_66) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_68 = mux(_csignals_T_5, UInt<2>("h1"), _csignals_T_67) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_69 = mux(_csignals_T_3, UInt<2>("h1"), _csignals_T_68) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node csignals_1 = mux(_csignals_T_1, UInt<2>("h1"), _csignals_T_69) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _op1_data_T = eq(csignals_1, UInt<2>("h1")) @[src/main/scala/sicore/Core.scala 79:16] + node rs_addr = bits(io_imem_inst, 25, 21) @[src/main/scala/sicore/Core.scala 39:21] + node _rs_data_T = neq(rs_addr, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 42:30] + node rs_data = mux(_rs_data_T, regfile.rs_data_MPORT.data, UInt<32>("h0")) @[src/main/scala/sicore/Core.scala 42:20] + node _op1_data_T_1 = eq(csignals_1, UInt<2>("h2")) @[src/main/scala/sicore/Core.scala 80:16] + node _op1_data_T_2 = mux(_op1_data_T_1, pc_reg, UInt<32>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node op1_data = mux(_op1_data_T, rs_data, _op1_data_T_2) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _csignals_T_70 = mux(_csignals_T_35, UInt<3>("h0"), UInt<3>("h1")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_71 = mux(_csignals_T_33, UInt<3>("h4"), _csignals_T_70) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_72 = mux(_csignals_T_31, UInt<3>("h1"), _csignals_T_71) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_73 = mux(_csignals_T_29, UInt<3>("h1"), _csignals_T_72) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_74 = mux(_csignals_T_27, UInt<3>("h1"), _csignals_T_73) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_75 = mux(_csignals_T_25, UInt<3>("h1"), _csignals_T_74) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_76 = mux(_csignals_T_23, UInt<3>("h1"), _csignals_T_75) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_77 = mux(_csignals_T_21, UInt<3>("h1"), _csignals_T_76) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_78 = mux(_csignals_T_19, UInt<3>("h2"), _csignals_T_77) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_79 = mux(_csignals_T_17, UInt<3>("h2"), _csignals_T_78) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_80 = mux(_csignals_T_15, UInt<3>("h1"), _csignals_T_79) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_81 = mux(_csignals_T_13, UInt<3>("h1"), _csignals_T_80) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_82 = mux(_csignals_T_11, UInt<3>("h1"), _csignals_T_81) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_83 = mux(_csignals_T_9, UInt<3>("h1"), _csignals_T_82) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_84 = mux(_csignals_T_7, UInt<3>("h2"), _csignals_T_83) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_85 = mux(_csignals_T_5, UInt<3>("h1"), _csignals_T_84) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_86 = mux(_csignals_T_3, UInt<3>("h2"), _csignals_T_85) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node csignals_2 = mux(_csignals_T_1, UInt<3>("h2"), _csignals_T_86) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _op2_data_T = eq(csignals_2, UInt<3>("h1")) @[src/main/scala/sicore/Core.scala 87:16] + node rt_addr = bits(io_imem_inst, 20, 16) @[src/main/scala/sicore/Core.scala 40:21] + node _rt_data_T = neq(rt_addr, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 43:30] + node rt_data = mux(_rt_data_T, regfile.rt_data_MPORT.data, UInt<32>("h0")) @[src/main/scala/sicore/Core.scala 43:20] + node _op2_data_T_1 = eq(csignals_2, UInt<3>("h2")) @[src/main/scala/sicore/Core.scala 88:16] + node imm_i = bits(io_imem_inst, 15, 0) @[src/main/scala/sicore/Core.scala 44:19] + node _imm_i_sext_T = bits(imm_i, 15, 15) @[src/main/scala/sicore/Core.scala 45:38] + node _imm_i_sext_T_1 = mux(_imm_i_sext_T, UInt<16>("hffff"), UInt<16>("h0")) @[src/main/scala/sicore/Core.scala 45:28] + node imm_i_sext = cat(_imm_i_sext_T_1, imm_i) @[src/main/scala/sicore/Core.scala 45:23] + node _op2_data_T_2 = eq(csignals_2, UInt<3>("h4")) @[src/main/scala/sicore/Core.scala 89:16] + node _imm_j_T = bits(io_imem_inst, 25, 0) @[src/main/scala/sicore/Core.scala 46:23] + node _imm_j_T_1 = mux(UInt<1>("h0"), UInt<2>("h3"), UInt<2>("h0")) @[src/main/scala/sicore/Core.scala 46:36] + node imm_j = cat(_imm_j_T, _imm_j_T_1) @[src/main/scala/sicore/Core.scala 46:18] + node _op2_data_T_3 = mux(_op2_data_T_2, imm_j, UInt<32>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _op2_data_T_4 = mux(_op2_data_T_1, imm_i_sext, _op2_data_T_3) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node op2_data = mux(_op2_data_T, rt_data, _op2_data_T_4) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _alu_out_T_1 = add(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 97:42] + node _alu_out_T_2 = tail(_alu_out_T_1, 1) @[src/main/scala/sicore/Core.scala 97:42] + node _alu_out_T_3 = eq(csignals_0, UInt<5>("h2")) @[src/main/scala/sicore/Core.scala 98:16] + node _alu_out_T_4 = sub(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 98:42] + node _alu_out_T_5 = tail(_alu_out_T_4, 1) @[src/main/scala/sicore/Core.scala 98:42] + node _alu_out_T_6 = eq(csignals_0, UInt<5>("h3")) @[src/main/scala/sicore/Core.scala 99:16] + node _alu_out_T_7 = and(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 99:42] + node _alu_out_T_8 = eq(csignals_0, UInt<5>("h4")) @[src/main/scala/sicore/Core.scala 100:16] + node _alu_out_T_9 = or(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 100:41] + node _alu_out_T_10 = eq(csignals_0, UInt<5>("h5")) @[src/main/scala/sicore/Core.scala 101:16] + node _alu_out_T_11 = xor(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 101:42] + node _alu_out_T_12 = eq(csignals_0, UInt<5>("h6")) @[src/main/scala/sicore/Core.scala 102:16] + node _alu_out_T_13 = bits(op2_data, 4, 0) @[src/main/scala/sicore/Core.scala 102:53] + node _alu_out_T_14 = dshl(op1_data, _alu_out_T_13) @[src/main/scala/sicore/Core.scala 102:42] + node _alu_out_T_15 = bits(_alu_out_T_14, 31, 0) @[src/main/scala/sicore/Core.scala 102:60] + node _alu_out_T_16 = eq(csignals_0, UInt<5>("h7")) @[src/main/scala/sicore/Core.scala 103:16] + node _alu_out_T_17 = bits(op2_data, 4, 0) @[src/main/scala/sicore/Core.scala 103:53] + node _alu_out_T_18 = dshr(op1_data, _alu_out_T_17) @[src/main/scala/sicore/Core.scala 103:42] + node _alu_out_T_19 = eq(csignals_0, UInt<5>("h8")) @[src/main/scala/sicore/Core.scala 104:16] + node _alu_out_T_20 = asSInt(op1_data) @[src/main/scala/sicore/Core.scala 104:42] + node _alu_out_T_21 = bits(op2_data, 4, 0) @[src/main/scala/sicore/Core.scala 104:60] + node _alu_out_T_22 = dshr(_alu_out_T_20, _alu_out_T_21) @[src/main/scala/sicore/Core.scala 104:49] + node _alu_out_T_23 = asUInt(_alu_out_T_22) @[src/main/scala/sicore/Core.scala 104:68] + node _alu_out_T_24 = eq(csignals_0, UInt<5>("h9")) @[src/main/scala/sicore/Core.scala 105:16] + node _alu_out_T_25 = asSInt(op1_data) @[src/main/scala/sicore/Core.scala 105:42] + node _alu_out_T_26 = asSInt(op2_data) @[src/main/scala/sicore/Core.scala 105:60] + node _alu_out_T_27 = lt(_alu_out_T_25, _alu_out_T_26) @[src/main/scala/sicore/Core.scala 105:49] + node _alu_out_T_28 = eq(csignals_0, UInt<5>("hd")) @[src/main/scala/sicore/Core.scala 106:16] + node _alu_out_T_29 = mux(_alu_out_T_28, op1_data, UInt<32>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _alu_out_T_30 = mux(_alu_out_T_24, _alu_out_T_27, _alu_out_T_29) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _alu_out_T_31 = mux(_alu_out_T_19, _alu_out_T_23, _alu_out_T_30) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _alu_out_T_32 = mux(_alu_out_T_16, _alu_out_T_18, _alu_out_T_31) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _alu_out_T_33 = mux(_alu_out_T_12, _alu_out_T_15, _alu_out_T_32) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _alu_out_T_34 = mux(_alu_out_T_10, _alu_out_T_11, _alu_out_T_33) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _alu_out_T_35 = mux(_alu_out_T_8, _alu_out_T_9, _alu_out_T_34) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _alu_out_T_36 = mux(_alu_out_T_6, _alu_out_T_7, _alu_out_T_35) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _alu_out_T_37 = mux(_alu_out_T_3, _alu_out_T_5, _alu_out_T_36) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _alu_out_T_38 = mux(_alu_out_T, _alu_out_T_2, _alu_out_T_37) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node alu_out = _alu_out_T_38 @[src/main/scala/sicore/Core.scala 26:21 94:11] + node _pc_next_T = mux(jmp_flg, alu_out, pc_plus4) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _br_flg_T = eq(csignals_0, UInt<5>("hb")) @[src/main/scala/sicore/Core.scala 113:16] + node _br_flg_T_1 = eq(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 113:41] + node _br_flg_T_2 = eq(csignals_0, UInt<5>("hc")) @[src/main/scala/sicore/Core.scala 114:16] + node _br_flg_T_3 = eq(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 114:42] + node _br_flg_T_4 = eq(_br_flg_T_3, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 114:31] + node _br_flg_T_5 = mux(_br_flg_T_2, _br_flg_T_4, UInt<1>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _br_flg_T_6 = mux(_br_flg_T, _br_flg_T_1, _br_flg_T_5) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node br_flg = _br_flg_T_6 @[src/main/scala/sicore/Core.scala 110:10 23:20] + node _br_target_T = dshl(imm_i_sext, UInt<5>("h2")) @[src/main/scala/sicore/Core.scala 119:37] + node _br_target_T_1 = add(pc_reg, _br_target_T) @[src/main/scala/sicore/Core.scala 119:23] + node _br_target_T_2 = tail(_br_target_T_1, 1) @[src/main/scala/sicore/Core.scala 119:23] + node br_target = bits(_br_target_T_2, 31, 0) @[src/main/scala/sicore/Core.scala 119:13 24:23] + node pc_next = mux(br_flg, br_target, _pc_next_T) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node rd_addr = bits(io_imem_inst, 15, 11) @[src/main/scala/sicore/Core.scala 41:21] + node _csignals_T_87 = mux(_csignals_T_35, UInt<2>("h0"), UInt<2>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_88 = mux(_csignals_T_33, UInt<2>("h0"), _csignals_T_87) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_89 = mux(_csignals_T_31, UInt<2>("h0"), _csignals_T_88) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_90 = mux(_csignals_T_29, UInt<2>("h0"), _csignals_T_89) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_91 = mux(_csignals_T_27, UInt<2>("h0"), _csignals_T_90) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_92 = mux(_csignals_T_25, UInt<2>("h0"), _csignals_T_91) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_93 = mux(_csignals_T_23, UInt<2>("h0"), _csignals_T_92) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_94 = mux(_csignals_T_21, UInt<2>("h0"), _csignals_T_93) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_95 = mux(_csignals_T_19, UInt<2>("h0"), _csignals_T_94) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_96 = mux(_csignals_T_17, UInt<2>("h0"), _csignals_T_95) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_97 = mux(_csignals_T_15, UInt<2>("h0"), _csignals_T_96) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_98 = mux(_csignals_T_13, UInt<2>("h0"), _csignals_T_97) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_99 = mux(_csignals_T_11, UInt<2>("h0"), _csignals_T_98) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_100 = mux(_csignals_T_9, UInt<2>("h0"), _csignals_T_99) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_101 = mux(_csignals_T_7, UInt<2>("h0"), _csignals_T_100) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_102 = mux(_csignals_T_5, UInt<2>("h0"), _csignals_T_101) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_103 = mux(_csignals_T_3, UInt<2>("h1"), _csignals_T_102) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node csignals_3 = mux(_csignals_T_1, UInt<2>("h0"), _csignals_T_103) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_104 = mux(_csignals_T_35, UInt<2>("h0"), UInt<2>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_105 = mux(_csignals_T_33, UInt<2>("h1"), _csignals_T_104) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_106 = mux(_csignals_T_31, UInt<2>("h1"), _csignals_T_105) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_107 = mux(_csignals_T_29, UInt<2>("h1"), _csignals_T_106) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_108 = mux(_csignals_T_27, UInt<2>("h1"), _csignals_T_107) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_109 = mux(_csignals_T_25, UInt<2>("h0"), _csignals_T_108) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_110 = mux(_csignals_T_23, UInt<2>("h0"), _csignals_T_109) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_111 = mux(_csignals_T_21, UInt<2>("h1"), _csignals_T_110) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_112 = mux(_csignals_T_19, UInt<2>("h1"), _csignals_T_111) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_113 = mux(_csignals_T_17, UInt<2>("h1"), _csignals_T_112) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_114 = mux(_csignals_T_15, UInt<2>("h1"), _csignals_T_113) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_115 = mux(_csignals_T_13, UInt<2>("h1"), _csignals_T_114) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_116 = mux(_csignals_T_11, UInt<2>("h1"), _csignals_T_115) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_117 = mux(_csignals_T_9, UInt<2>("h1"), _csignals_T_116) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_118 = mux(_csignals_T_7, UInt<2>("h1"), _csignals_T_117) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_119 = mux(_csignals_T_5, UInt<2>("h1"), _csignals_T_118) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_120 = mux(_csignals_T_3, UInt<2>("h0"), _csignals_T_119) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node csignals_4 = mux(_csignals_T_1, UInt<2>("h1"), _csignals_T_120) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_121 = mux(_csignals_T_35, UInt<3>("h0"), UInt<3>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_122 = mux(_csignals_T_33, UInt<3>("h3"), _csignals_T_121) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_123 = mux(_csignals_T_31, UInt<3>("h1"), _csignals_T_122) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_124 = mux(_csignals_T_29, UInt<3>("h1"), _csignals_T_123) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_125 = mux(_csignals_T_27, UInt<3>("h1"), _csignals_T_124) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_126 = mux(_csignals_T_25, UInt<3>("h0"), _csignals_T_125) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_127 = mux(_csignals_T_23, UInt<3>("h0"), _csignals_T_126) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_128 = mux(_csignals_T_21, UInt<3>("h1"), _csignals_T_127) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_129 = mux(_csignals_T_19, UInt<3>("h1"), _csignals_T_128) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_130 = mux(_csignals_T_17, UInt<3>("h1"), _csignals_T_129) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_131 = mux(_csignals_T_15, UInt<3>("h1"), _csignals_T_130) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_132 = mux(_csignals_T_13, UInt<3>("h1"), _csignals_T_131) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_133 = mux(_csignals_T_11, UInt<3>("h1"), _csignals_T_132) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_134 = mux(_csignals_T_9, UInt<3>("h1"), _csignals_T_133) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_135 = mux(_csignals_T_7, UInt<3>("h1"), _csignals_T_134) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_136 = mux(_csignals_T_5, UInt<3>("h1"), _csignals_T_135) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _csignals_T_137 = mux(_csignals_T_3, UInt<3>("h0"), _csignals_T_136) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node csignals_5 = mux(_csignals_T_1, UInt<3>("h2"), _csignals_T_137) @[src/main/scala/chisel3/util/Lookup.scala 34:39] + node _wb_data_T = eq(csignals_5, UInt<3>("h2")) @[src/main/scala/sicore/Core.scala 130:15] + node _wb_data_T_1 = eq(csignals_5, UInt<3>("h3")) @[src/main/scala/sicore/Core.scala 131:15] + node _wb_data_T_2 = mux(_wb_data_T_1, pc_plus4, alu_out) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node wb_data = mux(_wb_data_T, io_dmem_rdata, _wb_data_T_2) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _T = eq(csignals_4, UInt<2>("h1")) @[src/main/scala/sicore/Core.scala 136:15] + node _T_1 = neq(rd_addr, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 136:36] + node _T_2 = and(_T, _T_1) @[src/main/scala/sicore/Core.scala 136:25] + node _GEN_0 = validif(_T_2, rd_addr) @[src/main/scala/sicore/Core.scala 136:45 137:12] + node _GEN_1 = validif(_T_2, clock) @[src/main/scala/sicore/Core.scala 136:45 137:12] + node _GEN_2 = mux(_T_2, UInt<1>("h1"), UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 136:45 137:12 16:20] + node _GEN_3 = validif(_T_2, UInt<1>("h1")) @[src/main/scala/sicore/Core.scala 136:45 137:22] + node _GEN_4 = validif(_T_2, wb_data) @[src/main/scala/sicore/Core.scala 136:45 137:22] + node _io_exit_T = eq(io_imem_inst, UInt<32>("h114514")) @[src/main/scala/sicore/Core.scala 140:20] + node _T_3 = asUInt(reset) @[src/main/scala/sicore/Core.scala 143:9] + node _T_4 = eq(_T_3, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 143:9] + node _T_5 = asUInt(reset) @[src/main/scala/sicore/Core.scala 144:9] + node _T_6 = eq(_T_5, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 144:9] + node _T_7 = asUInt(reset) @[src/main/scala/sicore/Core.scala 145:9] + node _T_8 = eq(_T_7, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 145:9] + node _T_9 = asUInt(reset) @[src/main/scala/sicore/Core.scala 146:9] + node _T_10 = eq(_T_9, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 146:9] + node _T_11 = asUInt(reset) @[src/main/scala/sicore/Core.scala 147:9] + node _T_12 = eq(_T_11, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 147:9] + node _T_13 = asUInt(reset) @[src/main/scala/sicore/Core.scala 148:9] + node _T_14 = eq(_T_13, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 148:9] + node _T_15 = asUInt(reset) @[src/main/scala/sicore/Core.scala 149:9] + node _T_16 = eq(_T_15, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 149:9] + node _T_17 = asUInt(reset) @[src/main/scala/sicore/Core.scala 150:9] + node _T_18 = eq(_T_17, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 150:9] + io_imem_addr <= pc_reg @[src/main/scala/sicore/Core.scala 20:16] + io_dmem_addr <= alu_out @[src/main/scala/sicore/Core.scala 122:16] + io_dmem_wen <= bits(csignals_3, 0, 0) @[src/main/scala/sicore/Core.scala 123:15] + io_dmem_wdata <= rt_data @[src/main/scala/sicore/Core.scala 124:17] + io_exit <= _io_exit_T @[src/main/scala/sicore/Core.scala 140:11] + regfile.rs_data_MPORT.addr <= rs_addr @[src/main/scala/sicore/Core.scala 42:47] + regfile.rs_data_MPORT.en <= UInt<1>("h1") @[src/main/scala/sicore/Core.scala 42:47] + regfile.rs_data_MPORT.clk <= clock @[src/main/scala/sicore/Core.scala 42:47] + regfile.rt_data_MPORT.addr <= rt_addr @[src/main/scala/sicore/Core.scala 43:47] + regfile.rt_data_MPORT.en <= UInt<1>("h1") @[src/main/scala/sicore/Core.scala 43:47] + regfile.rt_data_MPORT.clk <= clock @[src/main/scala/sicore/Core.scala 43:47] + regfile.MPORT.addr <= _GEN_0 + regfile.MPORT.en <= _GEN_2 + regfile.MPORT.clk <= _GEN_1 + regfile.MPORT.data <= _GEN_4 + regfile.MPORT.mask <= _GEN_3 + pc_reg <= mux(reset, UInt<32>("h0"), pc_next) @[src/main/scala/sicore/Core.scala 19:{23,23} 36:10] + printf(clock, and(and(UInt<1>("h1"), _T_4), UInt<1>("h1")), "---------------\n") : printf @[src/main/scala/sicore/Core.scala 143:9] + printf(clock, and(and(UInt<1>("h1"), _T_6), UInt<1>("h1")), "io.pc: 0x%x\ninst: 0x%x \n", pc_reg, io_imem_inst) : printf_1 @[src/main/scala/sicore/Core.scala 144:9] + printf(clock, and(and(UInt<1>("h1"), _T_8), UInt<1>("h1")), "pc_next: 0x%x\n", pc_next) : printf_2 @[src/main/scala/sicore/Core.scala 145:9] + printf(clock, and(and(UInt<1>("h1"), _T_10), UInt<1>("h1")), "rs_addr: 0x%x\n", rs_addr) : printf_3 @[src/main/scala/sicore/Core.scala 146:9] + printf(clock, and(and(UInt<1>("h1"), _T_12), UInt<1>("h1")), "rd_addr: 0x%x\n", rd_addr) : printf_4 @[src/main/scala/sicore/Core.scala 147:9] + printf(clock, and(and(UInt<1>("h1"), _T_14), UInt<1>("h1")), "rs_data: 0x%x\n", rs_data) : printf_5 @[src/main/scala/sicore/Core.scala 148:9] + printf(clock, and(and(UInt<1>("h1"), _T_16), UInt<1>("h1")), "wb_data: 0x%x\n", wb_data) : printf_6 @[src/main/scala/sicore/Core.scala 149:9] + printf(clock, and(and(UInt<1>("h1"), _T_18), UInt<1>("h1")), "---------------\n") : printf_7 @[src/main/scala/sicore/Core.scala 150:9] + + module Memory : @[src/main/scala/sicore/Memory.scala 24:7] + input clock : Clock @[src/main/scala/sicore/Memory.scala 24:7] + input reset : UInt<1> @[src/main/scala/sicore/Memory.scala 24:7] + input io_imem_addr : UInt<32> @[src/main/scala/sicore/Memory.scala 25:14] + output io_imem_inst : UInt<32> @[src/main/scala/sicore/Memory.scala 25:14] + input io_dmem_addr : UInt<32> @[src/main/scala/sicore/Memory.scala 25:14] + output io_dmem_rdata : UInt<32> @[src/main/scala/sicore/Memory.scala 25:14] + input io_dmem_wen : UInt<1> @[src/main/scala/sicore/Memory.scala 25:14] + input io_dmem_wdata : UInt<32> @[src/main/scala/sicore/Memory.scala 25:14] + + mem mem : @[src/main/scala/sicore/Memory.scala 31:16] + data-type => UInt<8> + depth => 4096 + read-latency => 0 + write-latency => 1 + reader => io_imem_inst_MPORT + reader => io_imem_inst_MPORT_1 + reader => io_imem_inst_MPORT_2 + reader => io_imem_inst_MPORT_3 + reader => io_dmem_rdata_MPORT + reader => io_dmem_rdata_MPORT_1 + reader => io_dmem_rdata_MPORT_2 + reader => io_dmem_rdata_MPORT_3 + writer => MPORT + writer => MPORT_1 + writer => MPORT_2 + writer => MPORT_3 + read-under-write => undefined + node _io_imem_inst_T = add(io_imem_addr, UInt<32>("h3")) @[src/main/scala/sicore/Memory.scala 38:22] + node _io_imem_inst_T_1 = tail(_io_imem_inst_T, 1) @[src/main/scala/sicore/Memory.scala 38:22] + node _io_imem_inst_T_2 = bits(_io_imem_inst_T_1, 11, 0) @[src/main/scala/sicore/Memory.scala 38:8] + node _io_imem_inst_T_3 = add(io_imem_addr, UInt<32>("h2")) @[src/main/scala/sicore/Memory.scala 39:22] + node _io_imem_inst_T_4 = tail(_io_imem_inst_T_3, 1) @[src/main/scala/sicore/Memory.scala 39:22] + node _io_imem_inst_T_5 = bits(_io_imem_inst_T_4, 11, 0) @[src/main/scala/sicore/Memory.scala 39:8] + node _io_imem_inst_T_6 = add(io_imem_addr, UInt<32>("h1")) @[src/main/scala/sicore/Memory.scala 40:22] + node _io_imem_inst_T_7 = tail(_io_imem_inst_T_6, 1) @[src/main/scala/sicore/Memory.scala 40:22] + node _io_imem_inst_T_8 = bits(_io_imem_inst_T_7, 11, 0) @[src/main/scala/sicore/Memory.scala 40:8] + node _io_imem_inst_T_9 = bits(io_imem_addr, 11, 0) @[src/main/scala/sicore/Memory.scala 41:8] + node io_imem_inst_lo = cat(mem.io_imem_inst_MPORT_2.data, mem.io_imem_inst_MPORT_3.data) @[src/main/scala/sicore/Memory.scala 37:22] + node io_imem_inst_hi = cat(mem.io_imem_inst_MPORT.data, mem.io_imem_inst_MPORT_1.data) @[src/main/scala/sicore/Memory.scala 37:22] + node _io_imem_inst_T_10 = cat(io_imem_inst_hi, io_imem_inst_lo) @[src/main/scala/sicore/Memory.scala 37:22] + node _io_dmem_rdata_T = add(io_dmem_addr, UInt<32>("h3")) @[src/main/scala/sicore/Memory.scala 46:22] + node _io_dmem_rdata_T_1 = tail(_io_dmem_rdata_T, 1) @[src/main/scala/sicore/Memory.scala 46:22] + node _io_dmem_rdata_T_2 = bits(_io_dmem_rdata_T_1, 11, 0) @[src/main/scala/sicore/Memory.scala 46:8] + node _io_dmem_rdata_T_3 = add(io_dmem_addr, UInt<32>("h2")) @[src/main/scala/sicore/Memory.scala 47:22] + node _io_dmem_rdata_T_4 = tail(_io_dmem_rdata_T_3, 1) @[src/main/scala/sicore/Memory.scala 47:22] + node _io_dmem_rdata_T_5 = bits(_io_dmem_rdata_T_4, 11, 0) @[src/main/scala/sicore/Memory.scala 47:8] + node _io_dmem_rdata_T_6 = add(io_dmem_addr, UInt<32>("h1")) @[src/main/scala/sicore/Memory.scala 48:22] + node _io_dmem_rdata_T_7 = tail(_io_dmem_rdata_T_6, 1) @[src/main/scala/sicore/Memory.scala 48:22] + node _io_dmem_rdata_T_8 = bits(_io_dmem_rdata_T_7, 11, 0) @[src/main/scala/sicore/Memory.scala 48:8] + node _io_dmem_rdata_T_9 = bits(io_dmem_addr, 11, 0) @[src/main/scala/sicore/Memory.scala 49:8] + node io_dmem_rdata_lo = cat(mem.io_dmem_rdata_MPORT_2.data, mem.io_dmem_rdata_MPORT_3.data) @[src/main/scala/sicore/Memory.scala 45:23] + node io_dmem_rdata_hi = cat(mem.io_dmem_rdata_MPORT.data, mem.io_dmem_rdata_MPORT_1.data) @[src/main/scala/sicore/Memory.scala 45:23] + node _io_dmem_rdata_T_10 = cat(io_dmem_rdata_hi, io_dmem_rdata_lo) @[src/main/scala/sicore/Memory.scala 45:23] + node _T = bits(io_dmem_addr, 11, 0) @[src/main/scala/sicore/Memory.scala 54:8] + node _T_1 = bits(io_dmem_wdata, 7, 0) @[src/main/scala/sicore/Memory.scala 54:39] + node _T_2 = add(io_dmem_addr, UInt<32>("h1")) @[src/main/scala/sicore/Memory.scala 55:22] + node _T_3 = tail(_T_2, 1) @[src/main/scala/sicore/Memory.scala 55:22] + node _T_4 = bits(_T_3, 11, 0) @[src/main/scala/sicore/Memory.scala 55:8] + node _T_5 = bits(io_dmem_wdata, 15, 8) @[src/main/scala/sicore/Memory.scala 55:57] + node _T_6 = add(io_dmem_addr, UInt<32>("h2")) @[src/main/scala/sicore/Memory.scala 56:22] + node _T_7 = tail(_T_6, 1) @[src/main/scala/sicore/Memory.scala 56:22] + node _T_8 = bits(_T_7, 11, 0) @[src/main/scala/sicore/Memory.scala 56:8] + node _T_9 = bits(io_dmem_wdata, 23, 16) @[src/main/scala/sicore/Memory.scala 56:57] + node _T_10 = add(io_dmem_addr, UInt<32>("h3")) @[src/main/scala/sicore/Memory.scala 57:22] + node _T_11 = tail(_T_10, 1) @[src/main/scala/sicore/Memory.scala 57:22] + node _T_12 = bits(_T_11, 11, 0) @[src/main/scala/sicore/Memory.scala 57:8] + node _T_13 = bits(io_dmem_wdata, 31, 24) @[src/main/scala/sicore/Memory.scala 57:57] + node _GEN_0 = validif(io_dmem_wen, _T) @[src/main/scala/sicore/Memory.scala 53:21 54:8] + node _GEN_1 = validif(io_dmem_wen, clock) @[src/main/scala/sicore/Memory.scala 53:21 54:8] + node _GEN_2 = mux(io_dmem_wen, UInt<1>("h1"), UInt<1>("h0")) @[src/main/scala/sicore/Memory.scala 31:16 53:21 54:8] + node _GEN_3 = validif(io_dmem_wen, UInt<1>("h1")) @[src/main/scala/sicore/Memory.scala 53:21 54:23] + node _GEN_4 = validif(io_dmem_wen, _T_1) @[src/main/scala/sicore/Memory.scala 53:21 54:23] + node _GEN_5 = validif(io_dmem_wen, _T_4) @[src/main/scala/sicore/Memory.scala 53:21 55:8] + node _GEN_6 = validif(io_dmem_wen, _T_5) @[src/main/scala/sicore/Memory.scala 53:21 55:41] + node _GEN_7 = validif(io_dmem_wen, _T_8) @[src/main/scala/sicore/Memory.scala 53:21 56:8] + node _GEN_8 = validif(io_dmem_wen, _T_9) @[src/main/scala/sicore/Memory.scala 53:21 56:41] + node _GEN_9 = validif(io_dmem_wen, _T_12) @[src/main/scala/sicore/Memory.scala 53:21 57:8] + node _GEN_10 = validif(io_dmem_wen, _T_13) @[src/main/scala/sicore/Memory.scala 53:21 57:41] + io_imem_inst <= _io_imem_inst_T_10 @[src/main/scala/sicore/Memory.scala 37:16] + io_dmem_rdata <= _io_dmem_rdata_T_10 @[src/main/scala/sicore/Memory.scala 45:17] + mem.io_imem_inst_MPORT.addr <= _io_imem_inst_T_2 @[src/main/scala/sicore/Memory.scala 38:8] + mem.io_imem_inst_MPORT.en <= UInt<1>("h1") @[src/main/scala/sicore/Memory.scala 38:8] + mem.io_imem_inst_MPORT.clk <= clock @[src/main/scala/sicore/Memory.scala 38:8] + mem.io_imem_inst_MPORT_1.addr <= _io_imem_inst_T_5 @[src/main/scala/sicore/Memory.scala 39:8] + mem.io_imem_inst_MPORT_1.en <= UInt<1>("h1") @[src/main/scala/sicore/Memory.scala 39:8] + mem.io_imem_inst_MPORT_1.clk <= clock @[src/main/scala/sicore/Memory.scala 39:8] + mem.io_imem_inst_MPORT_2.addr <= _io_imem_inst_T_8 @[src/main/scala/sicore/Memory.scala 40:8] + mem.io_imem_inst_MPORT_2.en <= UInt<1>("h1") @[src/main/scala/sicore/Memory.scala 40:8] + mem.io_imem_inst_MPORT_2.clk <= clock @[src/main/scala/sicore/Memory.scala 40:8] + mem.io_imem_inst_MPORT_3.addr <= _io_imem_inst_T_9 @[src/main/scala/sicore/Memory.scala 41:8] + mem.io_imem_inst_MPORT_3.en <= UInt<1>("h1") @[src/main/scala/sicore/Memory.scala 41:8] + mem.io_imem_inst_MPORT_3.clk <= clock @[src/main/scala/sicore/Memory.scala 41:8] + mem.io_dmem_rdata_MPORT.addr <= _io_dmem_rdata_T_2 @[src/main/scala/sicore/Memory.scala 46:8] + mem.io_dmem_rdata_MPORT.en <= UInt<1>("h1") @[src/main/scala/sicore/Memory.scala 46:8] + mem.io_dmem_rdata_MPORT.clk <= clock @[src/main/scala/sicore/Memory.scala 46:8] + mem.io_dmem_rdata_MPORT_1.addr <= _io_dmem_rdata_T_5 @[src/main/scala/sicore/Memory.scala 47:8] + mem.io_dmem_rdata_MPORT_1.en <= UInt<1>("h1") @[src/main/scala/sicore/Memory.scala 47:8] + mem.io_dmem_rdata_MPORT_1.clk <= clock @[src/main/scala/sicore/Memory.scala 47:8] + mem.io_dmem_rdata_MPORT_2.addr <= _io_dmem_rdata_T_8 @[src/main/scala/sicore/Memory.scala 48:8] + mem.io_dmem_rdata_MPORT_2.en <= UInt<1>("h1") @[src/main/scala/sicore/Memory.scala 48:8] + mem.io_dmem_rdata_MPORT_2.clk <= clock @[src/main/scala/sicore/Memory.scala 48:8] + mem.io_dmem_rdata_MPORT_3.addr <= _io_dmem_rdata_T_9 @[src/main/scala/sicore/Memory.scala 49:8] + mem.io_dmem_rdata_MPORT_3.en <= UInt<1>("h1") @[src/main/scala/sicore/Memory.scala 49:8] + mem.io_dmem_rdata_MPORT_3.clk <= clock @[src/main/scala/sicore/Memory.scala 49:8] + mem.MPORT.addr <= _GEN_0 + mem.MPORT.en <= _GEN_2 + mem.MPORT.clk <= _GEN_1 + mem.MPORT.data <= _GEN_4 + mem.MPORT.mask <= _GEN_3 + mem.MPORT_1.addr <= _GEN_5 + mem.MPORT_1.en <= _GEN_2 + mem.MPORT_1.clk <= _GEN_1 + mem.MPORT_1.data <= _GEN_6 + mem.MPORT_1.mask <= _GEN_3 + mem.MPORT_2.addr <= _GEN_7 + mem.MPORT_2.en <= _GEN_2 + mem.MPORT_2.clk <= _GEN_1 + mem.MPORT_2.data <= _GEN_8 + mem.MPORT_2.mask <= _GEN_3 + mem.MPORT_3.addr <= _GEN_9 + mem.MPORT_3.en <= _GEN_2 + mem.MPORT_3.clk <= _GEN_1 + mem.MPORT_3.data <= _GEN_10 + mem.MPORT_3.mask <= _GEN_3 + + module TopOrigin : @[src/main/scala/sicore/Top.scala 8:7] + input clock : Clock @[src/main/scala/sicore/Top.scala 8:7] + input reset : UInt<1> @[src/main/scala/sicore/Top.scala 8:7] + output io_exit : UInt<1> @[src/main/scala/sicore/Top.scala 9:14] + + inst core of Core @[src/main/scala/sicore/Top.scala 12:20] + inst memory of Memory @[src/main/scala/sicore/Top.scala 13:22] + io_exit <= core.io_exit @[src/main/scala/sicore/Top.scala 16:11] + core.clock <= clock + core.reset <= reset + core.io_imem_inst <= memory.io_imem_inst @[src/main/scala/sicore/Top.scala 14:16] + core.io_dmem_rdata <= memory.io_dmem_rdata @[src/main/scala/sicore/Top.scala 15:16] + memory.clock <= clock + memory.reset <= reset + memory.io_imem_addr <= core.io_imem_addr @[src/main/scala/sicore/Top.scala 14:16] + memory.io_dmem_addr <= core.io_dmem_addr @[src/main/scala/sicore/Top.scala 15:16] + memory.io_dmem_wen <= core.io_dmem_wen @[src/main/scala/sicore/Top.scala 15:16] + memory.io_dmem_wdata <= core.io_dmem_wdata @[src/main/scala/sicore/Top.scala 15:16]