From c5eba630857c406f7b8464b2dc77304540c6a2d6 Mon Sep 17 00:00:00 2001 From: CGH0S7 <776459475@qq.com> Date: Tue, 31 Dec 2024 09:28:33 +0800 Subject: [PATCH] Fix bugs in Sicore --- TopOrigin.sv | 147 +++--- .../scala-2.12/sbt-1.0/sync/copy-resource | 1 - .../sbt-1.0/update/update_cache_2.12/inputs | 1 - .../sbt-1.0/update/update_cache_2.12/output | 1 - .../_global/_global/_global/streams/out | 0 .../csrConfiguration/_global/streams/out | 0 .../_global/csrProject/_global/streams/out | 0 .../streams/update_cache_2.12/input_dsp | 1 - .../streams/update_cache_2.12/output_dsp | 1 - .../ivyConfiguration/_global/streams/out | 0 .../_global/ivySbt/_global/streams/out | 0 .../moduleSettings/_global/streams/out | 0 .../projectDescriptors/_global/streams/out | 0 .../_global/streams/out | 0 .../_global/update/_global/streams/out | 3 - .../_global/_global/compileOutputs/previous | 1 - .../_global/discoveredMainClasses/data | 1 - .../compile/bspReporter/_global/streams/out | 0 .../compile/compile/_global/streams/out | 0 .../compileIncremental/_global/streams/export | 0 .../compileIncremental/_global/streams/out | 6 - .../compile/copyResources/_global/streams/out | 2 - .../_global/streams/export | 1 - .../exportedProducts/_global/streams/export | 1 - .../_global/streams/export | 1 - .../compile/incOptions/_global/streams/out | 5 - .../_global/streams/export | 1 - .../_global/streams/out | 0 .../managedClasspath/_global/streams/export | 1 - .../compile/scalacOptions/_global/streams/out | 0 .../unmanagedClasspath/_global/streams/export | 1 - .../unmanagedClasspath/_global/streams/out | 0 .../unmanagedJars/_global/streams/export | 1 - .../_global/streams/export | 1 - .../exportedProducts/_global/streams/export | 1 - .../_global/streams/export | 1 - .../fullClasspath/_global/streams/export | 1 - .../_global/streams/export | 1 - .../_global/streams/out | 0 .../managedClasspath/_global/streams/export | 1 - .../unmanagedClasspath/_global/streams/export | 1 - .../unmanagedClasspath/_global/streams/out | 0 .../unmanagedJars/_global/streams/export | 1 - ...2fb4.cache => $6080031bccf8a03d91ba.cache} | 0 ...a68a.cache => $9fddc3a6676a1ed50a85.cache} | 0 .../scala-2.12/sbt-1.0/sync/copy-resource | 1 - .../sbt-1.0/update/update_cache_2.12/inputs | 1 - .../sbt-1.0/update/update_cache_2.12/output | 1 - .../_global/_global/_global/streams/out | 0 .../csrConfiguration/_global/streams/out | 0 .../_global/csrProject/_global/streams/out | 0 .../streams/update_cache_2.12/input_dsp | 1 - .../streams/update_cache_2.12/output_dsp | 1 - .../ivyConfiguration/_global/streams/out | 0 .../_global/ivySbt/_global/streams/out | 0 .../moduleSettings/_global/streams/out | 0 .../projectDescriptors/_global/streams/out | 0 .../_global/streams/out | 0 .../_global/update/_global/streams/out | 3 - .../_global/_global/compileOutputs/previous | 1 - .../_global/discoveredMainClasses/data | 1 - .../compile/bspReporter/_global/streams/out | 0 .../compile/compile/_global/streams/out | 0 .../compileIncremental/_global/streams/export | 0 .../compileIncremental/_global/streams/out | 6 - .../compile/copyResources/_global/streams/out | 2 - .../_global/streams/export | 1 - .../exportedProducts/_global/streams/export | 1 - .../_global/streams/export | 1 - .../compile/incOptions/_global/streams/out | 5 - .../_global/streams/export | 1 - .../_global/streams/out | 0 .../managedClasspath/_global/streams/export | 1 - .../compile/scalacOptions/_global/streams/out | 0 .../unmanagedClasspath/_global/streams/export | 1 - .../unmanagedClasspath/_global/streams/out | 0 .../unmanagedJars/_global/streams/export | 1 - .../_global/streams/export | 1 - .../exportedProducts/_global/streams/export | 1 - .../_global/streams/export | 1 - .../fullClasspath/_global/streams/export | 1 - .../_global/streams/export | 1 - .../_global/streams/out | 0 .../managedClasspath/_global/streams/export | 1 - .../unmanagedClasspath/_global/streams/export | 1 - .../unmanagedClasspath/_global/streams/out | 0 .../unmanagedJars/_global/streams/export | 1 - ...824e.cache => $87709882d327edfdfbe4.cache} | 0 ...1015.cache => $92eace74df3dd60b2407.cache} | 0 ...f011.cache => $b99baa0e80f987ddc888.cache} | 0 ...b092.cache => $bc99ac17cdce7fb9c74c.cache} | 0 .../scala-2.12/sbt-1.0/sync/copy-resource | 0 .../sbt-1.0/update/update_cache_2.12/inputs | 0 .../sbt-1.0/update/update_cache_2.12/output | 0 .../_global/_global/_global/streams/out | 0 .../_global/bloopInstall/_global/streams/out | 0 .../csrConfiguration/_global/streams/out | 0 .../_global/csrProject/_global/streams/out | 0 .../streams/update_cache_2.12/input_dsp | 0 .../streams/update_cache_2.12/output_dsp | 2 +- .../ivyConfiguration/_global/streams/out | 0 .../_global/ivySbt/_global/streams/out | 0 .../moduleSettings/_global/streams/out | 0 .../projectDescriptors/_global/streams/out | 0 .../_global/streams/out | 0 .../_global/update/_global/streams/out | 0 .../updateClassifiers/_global/streams/out | 3 - .../_global/streams/update_cache_2.12/inputs | 1 - .../_global/streams/update_cache_2.12/output | 1 - .../updateSbtClassifiers/_global/streams/out | 2 - .../_global/_global/compileOutputs/previous | 2 +- .../_global/discoveredMainClasses/data | 0 .../compile/bloopGenerate/_global/streams/out | 4 - .../bloopPostGenerate/_global/streams/out | 1 - .../compile/bspReporter/_global/streams/out | 0 .../compile/compile/_global/streams/out | 0 .../compileIncremental/_global/streams/export | 0 .../compileIncremental/_global/streams/out | 0 .../compile/copyResources/_global/streams/out | 0 .../_global/streams/export | 0 .../exportedProducts/_global/streams/export | 2 +- .../_global/streams/export | 0 .../compile/incOptions/_global/streams/out | 6 +- .../_global/streams/export | 0 .../_global/streams/out | 0 .../managedClasspath/_global/streams/export | 0 .../compile/scalacOptions/_global/streams/out | 0 .../unmanagedClasspath/_global/streams/export | 0 .../unmanagedClasspath/_global/streams/out | 0 .../unmanagedJars/_global/streams/export | 0 .../it/bloopGenerate/_global/streams/out | 0 .../it/bloopPostGenerate/_global/streams/out | 0 .../_global/streams/export | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../_global/streams/export | 0 .../fullClasspath/_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../_global/streams/out | 0 .../managedClasspath/_global/streams/export | 0 .../unmanagedClasspath/_global/streams/export | 0 .../unmanagedClasspath/_global/streams/out | 0 .../unmanagedJars/_global/streams/export | 0 .../test/bloopGenerate/_global/streams/out | 0 .../bloopPostGenerate/_global/streams/out | 0 src/main/scala/common/Instructions.scala | 18 +- src/main/scala/micore/Core.scala | 18 +- src/main/scala/micore/Memory.scala | 2 +- src/main/scala/sicore/Core.scala | 28 +- src/main/scala/sicore/Memory.scala | 9 - target/scala-2.13/-name-_2.13-0.1.0.jar | Bin 92269 -> 113378 bytes target/scala-2.13/zinc/inc_compile_2.13.zip | Bin 21682 -> 21895 bytes .../_global/_global/compileOutputs/previous | 2 +- .../compileIncremental/_global/streams/out | 32 +- .../_global/streams/export | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../compile/incOptions/_global/streams/out | 8 +- .../compile/packageBin/_global/streams/inputs | 2 +- .../compile/packageBin/_global/streams/out | 106 ++-- .../compile/packageBin/_global/streams/output | 2 +- .../_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../TopOrigin.lo.fir | 487 +++++++++--------- .../TopOrigin.lo.fir | 314 ++++++----- 165 files changed, 645 insertions(+), 650 deletions(-) delete mode 100755 project/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource delete mode 100755 project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs delete mode 100755 project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output delete mode 100755 project/project/project/target/streams/_global/_global/_global/streams/out delete mode 100755 project/project/project/target/streams/_global/csrConfiguration/_global/streams/out delete mode 100755 project/project/project/target/streams/_global/csrProject/_global/streams/out delete mode 100755 project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp delete mode 100755 project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp delete mode 100755 project/project/project/target/streams/_global/ivyConfiguration/_global/streams/out delete mode 100755 project/project/project/target/streams/_global/ivySbt/_global/streams/out delete mode 100755 project/project/project/target/streams/_global/moduleSettings/_global/streams/out delete mode 100755 project/project/project/target/streams/_global/projectDescriptors/_global/streams/out delete mode 100755 project/project/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out delete mode 100755 project/project/project/target/streams/_global/update/_global/streams/out delete mode 100755 project/project/project/target/streams/compile/_global/_global/compileOutputs/previous delete mode 100755 project/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data delete mode 100755 project/project/project/target/streams/compile/bspReporter/_global/streams/out delete mode 100755 project/project/project/target/streams/compile/compile/_global/streams/out delete mode 100755 project/project/project/target/streams/compile/compileIncremental/_global/streams/export delete mode 100755 project/project/project/target/streams/compile/compileIncremental/_global/streams/out delete mode 100755 project/project/project/target/streams/compile/copyResources/_global/streams/out delete mode 100755 project/project/project/target/streams/compile/dependencyClasspath/_global/streams/export delete mode 100755 project/project/project/target/streams/compile/exportedProducts/_global/streams/export delete mode 100755 project/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export delete mode 100755 project/project/project/target/streams/compile/incOptions/_global/streams/out delete mode 100755 project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export delete mode 100755 project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/out delete mode 100755 project/project/project/target/streams/compile/managedClasspath/_global/streams/export delete mode 100755 project/project/project/target/streams/compile/scalacOptions/_global/streams/out delete mode 100755 project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export delete mode 100755 project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/out delete mode 100755 project/project/project/target/streams/compile/unmanagedJars/_global/streams/export delete mode 100755 project/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export delete mode 100755 project/project/project/target/streams/runtime/exportedProducts/_global/streams/export delete mode 100755 project/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export delete mode 100755 project/project/project/target/streams/runtime/fullClasspath/_global/streams/export delete mode 100755 project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export delete mode 100755 project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out delete mode 100755 project/project/project/target/streams/runtime/managedClasspath/_global/streams/export delete mode 100755 project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export delete mode 100755 project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/out delete mode 100755 project/project/project/target/streams/runtime/unmanagedJars/_global/streams/export rename project/project/target/config-classes/{$3584e5917ebead612fb4.cache => $6080031bccf8a03d91ba.cache} (100%) mode change 100755 => 100644 rename project/project/target/config-classes/{$8336910b880f89dfa68a.cache => $9fddc3a6676a1ed50a85.cache} (100%) mode change 100755 => 100644 delete mode 100755 project/project/target/scala-2.12/sbt-1.0/sync/copy-resource delete mode 100755 project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs delete mode 100755 project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output delete mode 100755 project/project/target/streams/_global/_global/_global/streams/out delete mode 100755 project/project/target/streams/_global/csrConfiguration/_global/streams/out delete mode 100755 project/project/target/streams/_global/csrProject/_global/streams/out delete mode 100755 project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp delete mode 100755 project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp delete mode 100755 project/project/target/streams/_global/ivyConfiguration/_global/streams/out delete mode 100755 project/project/target/streams/_global/ivySbt/_global/streams/out delete mode 100755 project/project/target/streams/_global/moduleSettings/_global/streams/out delete mode 100755 project/project/target/streams/_global/projectDescriptors/_global/streams/out delete mode 100755 project/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out delete mode 100755 project/project/target/streams/_global/update/_global/streams/out delete mode 100755 project/project/target/streams/compile/_global/_global/compileOutputs/previous delete mode 100755 project/project/target/streams/compile/_global/_global/discoveredMainClasses/data delete mode 100755 project/project/target/streams/compile/bspReporter/_global/streams/out delete mode 100755 project/project/target/streams/compile/compile/_global/streams/out delete mode 100755 project/project/target/streams/compile/compileIncremental/_global/streams/export delete mode 100755 project/project/target/streams/compile/compileIncremental/_global/streams/out delete mode 100755 project/project/target/streams/compile/copyResources/_global/streams/out delete mode 100755 project/project/target/streams/compile/dependencyClasspath/_global/streams/export delete mode 100755 project/project/target/streams/compile/exportedProducts/_global/streams/export delete mode 100755 project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export delete mode 100755 project/project/target/streams/compile/incOptions/_global/streams/out delete mode 100755 project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export delete mode 100755 project/project/target/streams/compile/internalDependencyClasspath/_global/streams/out delete mode 100755 project/project/target/streams/compile/managedClasspath/_global/streams/export delete mode 100755 project/project/target/streams/compile/scalacOptions/_global/streams/out delete mode 100755 project/project/target/streams/compile/unmanagedClasspath/_global/streams/export delete mode 100755 project/project/target/streams/compile/unmanagedClasspath/_global/streams/out delete mode 100755 project/project/target/streams/compile/unmanagedJars/_global/streams/export delete mode 100755 project/project/target/streams/runtime/dependencyClasspath/_global/streams/export delete mode 100755 project/project/target/streams/runtime/exportedProducts/_global/streams/export delete mode 100755 project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export delete mode 100755 project/project/target/streams/runtime/fullClasspath/_global/streams/export delete mode 100755 project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export delete mode 100755 project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out delete mode 100755 project/project/target/streams/runtime/managedClasspath/_global/streams/export delete mode 100755 project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export delete mode 100755 project/project/target/streams/runtime/unmanagedClasspath/_global/streams/out delete mode 100755 project/project/target/streams/runtime/unmanagedJars/_global/streams/export rename project/target/config-classes/{$948efd6ee3ba8f09824e.cache => $87709882d327edfdfbe4.cache} (100%) mode change 100755 => 100644 rename project/target/config-classes/{$2b8c65d0a3a3f42e1015.cache => $92eace74df3dd60b2407.cache} (100%) mode change 100755 => 100644 rename project/target/config-classes/{$add241eb0c1a6339f011.cache => $b99baa0e80f987ddc888.cache} (100%) mode change 100755 => 100644 rename project/target/config-classes/{$eac2b8278586e49cb092.cache => $bc99ac17cdce7fb9c74c.cache} (100%) mode change 100755 => 100644 mode change 100755 => 100644 project/target/scala-2.12/sbt-1.0/sync/copy-resource mode change 100755 => 100644 project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs mode change 100755 => 100644 project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output mode change 100755 => 100644 project/target/streams/_global/_global/_global/streams/out delete mode 100755 project/target/streams/_global/_global/bloopInstall/_global/streams/out mode change 100755 => 100644 project/target/streams/_global/csrConfiguration/_global/streams/out mode change 100755 => 100644 project/target/streams/_global/csrProject/_global/streams/out mode change 100755 => 100644 project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp mode change 100755 => 100644 project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp mode change 100755 => 100644 project/target/streams/_global/ivyConfiguration/_global/streams/out mode change 100755 => 100644 project/target/streams/_global/ivySbt/_global/streams/out mode change 100755 => 100644 project/target/streams/_global/moduleSettings/_global/streams/out mode change 100755 => 100644 project/target/streams/_global/projectDescriptors/_global/streams/out mode change 100755 => 100644 project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out mode change 100755 => 100644 project/target/streams/_global/update/_global/streams/out delete mode 100755 project/target/streams/_global/updateClassifiers/_global/streams/out delete mode 100755 project/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/inputs delete mode 100755 project/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/output delete mode 100755 project/target/streams/_global/updateSbtClassifiers/_global/streams/out mode change 100755 => 100644 project/target/streams/compile/_global/_global/compileOutputs/previous mode change 100755 => 100644 project/target/streams/compile/_global/_global/discoveredMainClasses/data delete mode 100755 project/target/streams/compile/bloopGenerate/_global/streams/out delete mode 100755 project/target/streams/compile/bloopPostGenerate/_global/streams/out mode change 100755 => 100644 project/target/streams/compile/bspReporter/_global/streams/out mode change 100755 => 100644 project/target/streams/compile/compile/_global/streams/out mode change 100755 => 100644 project/target/streams/compile/compileIncremental/_global/streams/export mode change 100755 => 100644 project/target/streams/compile/compileIncremental/_global/streams/out mode change 100755 => 100644 project/target/streams/compile/copyResources/_global/streams/out mode change 100755 => 100644 project/target/streams/compile/dependencyClasspath/_global/streams/export mode change 100755 => 100644 project/target/streams/compile/exportedProducts/_global/streams/export mode change 100755 => 100644 project/target/streams/compile/externalDependencyClasspath/_global/streams/export mode change 100755 => 100644 project/target/streams/compile/incOptions/_global/streams/out mode change 100755 => 100644 project/target/streams/compile/internalDependencyClasspath/_global/streams/export mode change 100755 => 100644 project/target/streams/compile/internalDependencyClasspath/_global/streams/out mode change 100755 => 100644 project/target/streams/compile/managedClasspath/_global/streams/export mode change 100755 => 100644 project/target/streams/compile/scalacOptions/_global/streams/out mode change 100755 => 100644 project/target/streams/compile/unmanagedClasspath/_global/streams/export mode change 100755 => 100644 project/target/streams/compile/unmanagedClasspath/_global/streams/out mode change 100755 => 100644 project/target/streams/compile/unmanagedJars/_global/streams/export delete mode 100755 project/target/streams/it/bloopGenerate/_global/streams/out delete mode 100755 project/target/streams/it/bloopPostGenerate/_global/streams/out mode change 100755 => 100644 project/target/streams/runtime/dependencyClasspath/_global/streams/export mode change 100755 => 100644 project/target/streams/runtime/exportedProducts/_global/streams/export mode change 100755 => 100644 project/target/streams/runtime/externalDependencyClasspath/_global/streams/export mode change 100755 => 100644 project/target/streams/runtime/fullClasspath/_global/streams/export mode change 100755 => 100644 project/target/streams/runtime/internalDependencyClasspath/_global/streams/export mode change 100755 => 100644 project/target/streams/runtime/internalDependencyClasspath/_global/streams/out mode change 100755 => 100644 project/target/streams/runtime/managedClasspath/_global/streams/export mode change 100755 => 100644 project/target/streams/runtime/unmanagedClasspath/_global/streams/export mode change 100755 => 100644 project/target/streams/runtime/unmanagedClasspath/_global/streams/out mode change 100755 => 100644 project/target/streams/runtime/unmanagedJars/_global/streams/export delete mode 100755 project/target/streams/test/bloopGenerate/_global/streams/out delete mode 100755 project/target/streams/test/bloopPostGenerate/_global/streams/out mode change 100755 => 100644 target/scala-2.13/-name-_2.13-0.1.0.jar mode change 100755 => 100644 target/scala-2.13/zinc/inc_compile_2.13.zip diff --git a/TopOrigin.sv b/TopOrigin.sv index 9c46214..cebee7d 100755 --- a/TopOrigin.sv +++ b/TopOrigin.sv @@ -51,30 +51,31 @@ module Core( wire [31:0] _regfile_ext_R1_data; reg [31:0] pc_reg; wire [31:0] _pc_plus4_T = pc_reg + 32'h4; - wire [9:0] _GEN = {io_imem_inst[31:28], io_imem_inst[5:0]}; - wire jmp_flg = io_imem_inst[31:28] == 4'h3 | _GEN == 10'h8; - wire [31:0] rs_data = (|(io_imem_inst[25:21])) ? _regfile_ext_R1_data : 32'h0; - wire [31:0] rt_data = (|(io_imem_inst[20:16])) ? _regfile_ext_R0_data : 32'h0; - wire [11:0] _GEN_0 = {io_imem_inst[31:26], io_imem_inst[5:0]}; - wire _csignals_T_5 = _GEN_0 == 12'h20; - wire _csignals_T_7 = io_imem_inst[31:28] == 4'h8; - wire _csignals_T_9 = _GEN_0 == 12'h22; - wire _csignals_T_11 = _GEN_0 == 12'h24; - wire _csignals_T_13 = _GEN_0 == 12'h25; - wire _csignals_T_15 = _GEN_0 == 12'h26; - wire _csignals_T_17 = io_imem_inst[31:28] == 4'hC; - wire _csignals_T_19 = io_imem_inst[31:28] == 4'hD; - wire _csignals_T_21 = _GEN_0 == 12'h2A; - wire _csignals_T_23 = io_imem_inst[31:28] == 4'h4; - wire _csignals_T_25 = io_imem_inst[31:28] == 4'h5; - wire [16:0] _GEN_1 = {io_imem_inst[31:21], io_imem_inst[5:0]}; - wire _csignals_T_27 = _GEN_1 == 17'h0; - wire _csignals_T_29 = _GEN_1 == 17'h2; - wire _csignals_T_31 = _GEN_1 == 17'h3; - wire _csignals_T_33 = io_imem_inst[31:28] == 4'h3; - wire _csignals_T_35 = _GEN == 10'h8; + wire [11:0] _GEN = {io_imem_inst[31:26], io_imem_inst[5:0]}; + wire jmp_flg = io_imem_inst[31:26] == 6'h3 | _GEN == 12'h8; + wire [31:0] rs_data = (|(io_imem_inst[25:21])) ? _regfile_ext_R0_data : 32'h0; + wire [31:0] rt_data = (|(io_imem_inst[20:16])) ? _regfile_ext_R1_data : 32'h0; + wire _csignals_T_1 = io_imem_inst[31:26] == 6'h23; + wire _csignals_T_3 = io_imem_inst[31:26] == 6'h2B; + wire _csignals_T_5 = _GEN == 12'h20; + wire _csignals_T_7 = io_imem_inst[31:26] == 6'h8; + wire _csignals_T_9 = _GEN == 12'h22; + wire _csignals_T_11 = _GEN == 12'h24; + wire _csignals_T_13 = _GEN == 12'h25; + wire _csignals_T_15 = _GEN == 12'h26; + wire _csignals_T_17 = io_imem_inst[31:26] == 6'hC; + wire _csignals_T_19 = io_imem_inst[31:26] == 6'hD; + wire _csignals_T_21 = _GEN == 12'h2A; + wire _csignals_T_23 = io_imem_inst[31:26] == 6'h4; + wire _csignals_T_25 = io_imem_inst[31:26] == 6'h5; + wire [16:0] _GEN_0 = {io_imem_inst[31:21], io_imem_inst[5:0]}; + wire _csignals_T_27 = _GEN_0 == 17'h0; + wire _csignals_T_29 = _GEN_0 == 17'h2; + wire _csignals_T_31 = _GEN_0 == 17'h3; + wire _csignals_T_33 = io_imem_inst[31:26] == 6'h3; + wire _csignals_T_35 = _GEN == 12'h8; wire [4:0] csignals_0 = - _csignals_T_5 | _csignals_T_7 + _csignals_T_1 | _csignals_T_3 | _csignals_T_5 | _csignals_T_7 ? 5'h1 : _csignals_T_9 ? 5'h2 @@ -103,38 +104,56 @@ module Core( : _csignals_T_33 ? 5'h1 : _csignals_T_35 ? 5'hD : 5'h0; - wire _GEN_2 = _csignals_T_27 | _csignals_T_29 | _csignals_T_31; - wire _GEN_3 = _csignals_T_21 | _csignals_T_23 | _csignals_T_25 | _GEN_2; + wire _GEN_1 = _csignals_T_27 | _csignals_T_29 | _csignals_T_31; + wire _GEN_2 = _csignals_T_21 | _csignals_T_23 | _csignals_T_25 | _GEN_1; wire [1:0] csignals_1 = - _csignals_T_5 | _csignals_T_7 | _csignals_T_9 | _csignals_T_11 | _csignals_T_13 - | _csignals_T_15 | _csignals_T_17 | _csignals_T_19 | _GEN_3 | ~_csignals_T_33 + _csignals_T_1 | _csignals_T_3 | _csignals_T_5 | _csignals_T_7 | _csignals_T_9 + | _csignals_T_11 | _csignals_T_13 | _csignals_T_15 | _csignals_T_17 | _csignals_T_19 + | _GEN_2 | ~_csignals_T_33 ? 2'h1 : 2'h2; - wire [2:0] _csignals_T_85 = - _csignals_T_5 - ? 3'h1 - : _csignals_T_7 - ? 3'h2 - : _csignals_T_9 | _csignals_T_11 | _csignals_T_13 | _csignals_T_15 - ? 3'h1 - : _csignals_T_17 | _csignals_T_19 - ? 3'h2 - : _GEN_3 ? 3'h1 : _csignals_T_33 ? 3'h4 : {2'h0, ~_csignals_T_35}; - wire _GEN_4 = _csignals_T_23 | _csignals_T_25; - wire _GEN_5 = + wire [2:0] csignals_2 = + _csignals_T_1 | _csignals_T_3 + ? 3'h2 + : _csignals_T_5 + ? 3'h1 + : _csignals_T_7 + ? 3'h2 + : _csignals_T_9 | _csignals_T_11 | _csignals_T_13 | _csignals_T_15 + ? 3'h1 + : _csignals_T_17 | _csignals_T_19 + ? 3'h2 + : _GEN_2 ? 3'h1 : _csignals_T_33 ? 3'h4 : {2'h0, ~_csignals_T_35}; + wire _GEN_3 = _csignals_T_23 | _csignals_T_25; + wire _GEN_4 = _csignals_T_5 | _csignals_T_7 | _csignals_T_9 | _csignals_T_11 | _csignals_T_13 | _csignals_T_15 | _csignals_T_17 | _csignals_T_19 | _csignals_T_21; - wire [1:0] _csignals_T_136 = - _GEN_5 ? 2'h1 : _GEN_4 ? 2'h0 : _GEN_2 ? 2'h1 : {2{_csignals_T_33}}; + wire [1:0] csignals_4 = + _csignals_T_1 + ? 2'h1 + : _csignals_T_3 + ? 2'h0 + : _GEN_4 + ? 2'h1 + : _GEN_3 + ? 2'h0 + : {1'h0, + _csignals_T_27 | _csignals_T_29 | _csignals_T_31 | _csignals_T_33}; + wire [2:0] csignals_5 = + _csignals_T_1 + ? 3'h2 + : _csignals_T_3 + ? 3'h0 + : _GEN_4 ? 3'h1 : _GEN_3 ? 3'h0 : _GEN_1 ? 3'h1 : _csignals_T_33 ? 3'h3 : 3'h0; wire _op1_data_T = csignals_1 == 2'h1; wire _op1_data_T_1 = csignals_1 == 2'h2; wire [31:0] op1_data = _op1_data_T ? rs_data : _op1_data_T_1 ? pc_reg : 32'h0; wire [31:0] op2_data = - _csignals_T_85 == 3'h1 + csignals_2 == 3'h1 ? rt_data - : _csignals_T_85 == 3'h2 + : csignals_2 == 3'h2 ? {{16{io_imem_inst[15]}}, io_imem_inst[15:0]} - : _csignals_T_85 == 3'h4 ? {4'h0, io_imem_inst[25:0], 2'h0} : 32'h0; + : csignals_2 == 3'h4 ? {4'h0, io_imem_inst[25:0], 2'h0} : 32'h0; wire _alu_out_T = csignals_0 == 5'h1; wire [31:0] _alu_out_T_1 = op1_data + op2_data; wire _alu_out_T_3 = csignals_0 == 5'h2; @@ -148,13 +167,13 @@ module Core( wire _alu_out_T_12 = csignals_0 == 5'h6; wire [62:0] _alu_out_T_14 = {31'h0, op1_data} << op2_data[4:0]; wire _alu_out_T_16 = csignals_0 == 5'h7; - wire [31:0] _GEN_6 = {27'h0, op2_data[4:0]}; - wire [31:0] _alu_out_T_18 = op1_data >> _GEN_6; + wire [31:0] _GEN_5 = {27'h0, op2_data[4:0]}; + wire [31:0] _alu_out_T_18 = op1_data >> _GEN_5; wire _alu_out_T_19 = csignals_0 == 5'h8; - wire [31:0] _alu_out_T_22 = $signed($signed(op1_data) >>> _GEN_6); + wire [31:0] _alu_out_T_22 = $signed($signed(op1_data) >>> _GEN_5); wire _alu_out_T_24 = csignals_0 == 5'h9; wire _alu_out_T_28 = csignals_0 == 5'hD; - wire [31:0] _GEN_7 = {31'h0, $signed(op1_data) < $signed(op2_data)}; + wire [31:0] _GEN_6 = {31'h0, $signed(op1_data) < $signed(op2_data)}; wire [31:0] alu_out = _alu_out_T ? _alu_out_T_1 @@ -173,24 +192,34 @@ module Core( : _alu_out_T_19 ? _alu_out_T_22 : _alu_out_T_24 - ? _GEN_7 + ? _GEN_6 : _alu_out_T_28 ? op1_data : 32'h0; wire _br_flg_T_3 = op1_data == op2_data; wire br_flg = csignals_0 == 5'hB ? _br_flg_T_3 : csignals_0 == 5'hC & ~_br_flg_T_3; wire [31:0] br_target = {{14{io_imem_inst[15]}}, io_imem_inst[15:0], 2'h0} + pc_reg; wire [31:0] wb_data = - _csignals_T_136 == 2'h2 ? io_dmem_rdata : (&_csignals_T_136) ? _pc_plus4_T : alu_out; + csignals_5 == 3'h2 ? io_dmem_rdata : csignals_5 == 3'h3 ? _pc_plus4_T : alu_out; + wire [4:0] wb_addr = + csignals_5 == 3'h1 & io_imem_inst[31:26] == 6'h0 + ? io_imem_inst[15:11] + : io_imem_inst[31:26] == 6'h3 ? 5'h1F : io_imem_inst[20:16]; `ifndef SYNTHESIS always @(posedge clock) begin if ((`PRINTF_COND_) & ~reset) begin $fwrite(32'h80000002, "---------------\n"); - $fwrite(32'h80000002, "io.pc: 0x%x\ninst: 0x%x \n", pc_reg, io_imem_inst); + $fwrite(32'h80000002, "io.imem.inst: 0x%x\n", io_imem_inst); + $fwrite(32'h80000002, "io.pc: 0x%x\ninst: 0x%b \n", pc_reg, io_imem_inst); $fwrite(32'h80000002, "pc_next: 0x%x\n", br_flg ? br_target : jmp_flg ? alu_out : _pc_plus4_T); + $fwrite(32'h80000002, "exe_fun: 0x%x\n", csignals_0); $fwrite(32'h80000002, "rs_addr: 0x%x\n", io_imem_inst[25:21]); + $fwrite(32'h80000002, "rt_addr: 0x%x\n", io_imem_inst[20:16]); $fwrite(32'h80000002, "rd_addr: 0x%x\n", io_imem_inst[15:11]); + $fwrite(32'h80000002, "reg: 0x%x\n", _regfile_ext_R1_data); + $fwrite(32'h80000002, "rf_wen: 0x%x\n", csignals_4); $fwrite(32'h80000002, "rs_data: 0x%x\n", rs_data); + $fwrite(32'h80000002, "rt_data: 0x%x\n", rt_data); $fwrite(32'h80000002, "wb_data: 0x%x\n", wb_data); $fwrite(32'h80000002, "---------------\n"); end @@ -219,7 +248,7 @@ module Core( else if (_alu_out_T_19) pc_reg <= _alu_out_T_22; else if (_alu_out_T_24) - pc_reg <= _GEN_7; + pc_reg <= _GEN_6; else if (_alu_out_T_28) begin if (_op1_data_T) pc_reg <= rs_data; @@ -233,24 +262,22 @@ module Core( pc_reg <= _pc_plus4_T; end // always @(posedge) regfile_32x32 regfile_ext ( - .R0_addr (io_imem_inst[20:16]), + .R0_addr (io_imem_inst[25:21]), .R0_en (1'h1), .R0_clk (clock), .R0_data (_regfile_ext_R0_data), - .R1_addr (io_imem_inst[25:21]), + .R1_addr (io_imem_inst[20:16]), .R1_en (1'h1), .R1_clk (clock), .R1_data (_regfile_ext_R1_data), - .W0_addr (io_imem_inst[15:11]), - .W0_en - (_GEN_5 | ~_GEN_4 - & (_csignals_T_27 | _csignals_T_29 | _csignals_T_31 | _csignals_T_33)), + .W0_addr (wb_addr), + .W0_en (csignals_4 == 2'h1 & (|wb_addr)), .W0_clk (clock), .W0_data (wb_data) ); assign io_imem_addr = pc_reg; assign io_dmem_addr = alu_out; - assign io_dmem_wen = 1'h0; + assign io_dmem_wen = ~_csignals_T_1 & _csignals_T_3; assign io_dmem_wdata = rt_data; assign io_exit = io_imem_inst == 32'h114514; endmodule @@ -320,7 +347,7 @@ module mem_4096x8( end // always @(posedge) `ifdef ENABLE_INITIAL_MEM_ initial - $readmemh("src/hex/addi.hex", Memory); + $readmemh("src/hex/mem.hex", Memory); `endif // ENABLE_INITIAL_MEM_ assign R0_data = R0_en ? Memory[R0_addr] : 8'bx; assign R1_data = R1_en ? Memory[R1_addr] : 8'bx; diff --git a/project/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource b/project/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource deleted file mode 100755 index 9d348e7..0000000 --- a/project/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource +++ /dev/null @@ -1 +0,0 @@ -[[{},{}],{}] \ No newline at end of file diff --git a/project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs b/project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs deleted file mode 100755 index fd70f3c..0000000 --- a/project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs +++ /dev/null @@ -1 +0,0 @@ --2109952146 \ No newline at end of file diff --git a/project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output b/project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output deleted file mode 100755 index 5cdd450..0000000 --- a/project/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output +++ /dev/null @@ -1 +0,0 @@ -{"cachedDescriptor":".","configurations":[{"configuration":{"name":"compile"},"modules":[],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"plugin"},"modules":[],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"provided"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"runtime"},"modules":[],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[],"details":[]},{"configuration":{"name":"scala-doc-tool"},"modules":[],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.18","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-lang","name":"*","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.1.0/scala-xml_2.12-2.1.0.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.1.0/scala-xml_2.12-2.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The BSD License","http://www.opensource.org/licenses/bsd-license.php"]],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":true},"stamps":{}} \ No newline at end of file diff --git a/project/project/project/target/streams/_global/_global/_global/streams/out b/project/project/project/target/streams/_global/_global/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/_global/csrConfiguration/_global/streams/out b/project/project/project/target/streams/_global/csrConfiguration/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/_global/csrProject/_global/streams/out b/project/project/project/target/streams/_global/csrProject/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp b/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp deleted file mode 100755 index c09d4f8..0000000 --- a/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp +++ /dev/null @@ -1 +0,0 @@ --2132504562 \ No newline at end of file diff --git a/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp b/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp deleted file mode 100755 index 7a9f043..0000000 --- a/project/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp +++ /dev/null @@ -1 +0,0 @@ -{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","startLine"],"path":"(sbt.Classpaths.jvmBaseSettings) Defaults.scala","startLine":3342},"type":"LinePosition"}} \ No newline at end of file diff --git a/project/project/project/target/streams/_global/ivyConfiguration/_global/streams/out b/project/project/project/target/streams/_global/ivyConfiguration/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/_global/ivySbt/_global/streams/out b/project/project/project/target/streams/_global/ivySbt/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/_global/moduleSettings/_global/streams/out b/project/project/project/target/streams/_global/moduleSettings/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/_global/projectDescriptors/_global/streams/out b/project/project/project/target/streams/_global/projectDescriptors/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out b/project/project/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/_global/update/_global/streams/out b/project/project/project/target/streams/_global/update/_global/streams/out deleted file mode 100755 index 982166c..0000000 --- a/project/project/project/target/streams/_global/update/_global/streams/out +++ /dev/null @@ -1,3 +0,0 @@ -[debug] not up to date. inChanged = true, force = false -[debug] Updating ProjectRef(uri("file:/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/"), "micore-build-build-build")... -[debug] Done updating ProjectRef(uri("file:/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/"), "micore-build-build-build") diff --git a/project/project/project/target/streams/compile/_global/_global/compileOutputs/previous b/project/project/project/target/streams/compile/_global/_global/compileOutputs/previous deleted file mode 100755 index d3be71e..0000000 --- a/project/project/project/target/streams/compile/_global/_global/compileOutputs/previous +++ /dev/null @@ -1 +0,0 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/project/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data b/project/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data deleted file mode 100755 index 0637a08..0000000 --- a/project/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data +++ /dev/null @@ -1 +0,0 @@ -[] \ No newline at end of file diff --git a/project/project/project/target/streams/compile/bspReporter/_global/streams/out b/project/project/project/target/streams/compile/bspReporter/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/compile/compile/_global/streams/out b/project/project/project/target/streams/compile/compile/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/compile/compileIncremental/_global/streams/export b/project/project/project/target/streams/compile/compileIncremental/_global/streams/export deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/compile/compileIncremental/_global/streams/out b/project/project/project/target/streams/compile/compileIncremental/_global/streams/out deleted file mode 100755 index 9cca155..0000000 --- a/project/project/project/target/streams/compile/compileIncremental/_global/streams/out +++ /dev/null @@ -1,6 +0,0 @@ -[debug] [zinc] IncrementalCompile ----------- -[debug] IncrementalCompile.incrementalCompile -[debug] previous = Stamps for: 0 products, 0 sources, 0 libraries -[debug] current source = Set() -[debug] > initialChanges = InitialChanges(Changes(added = Set(), removed = Set(), changed = Set(), unmodified = ...),Set(),Set(),API Changes: Set()) -[debug] Full compilation, no sources in previous analysis. diff --git a/project/project/project/target/streams/compile/copyResources/_global/streams/out b/project/project/project/target/streams/compile/copyResources/_global/streams/out deleted file mode 100755 index f25042f..0000000 --- a/project/project/project/target/streams/compile/copyResources/_global/streams/out +++ /dev/null @@ -1,2 +0,0 @@ -[debug] Copy resource mappings:  -[debug]   diff --git a/project/project/project/target/streams/compile/dependencyClasspath/_global/streams/export b/project/project/project/target/streams/compile/dependencyClasspath/_global/streams/export deleted file mode 100755 index b1694c2..0000000 --- a/project/project/project/target/streams/compile/dependencyClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/compile/exportedProducts/_global/streams/export b/project/project/project/target/streams/compile/exportedProducts/_global/streams/export deleted file mode 100755 index 8d01fa8..0000000 --- a/project/project/project/target/streams/compile/exportedProducts/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export b/project/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export deleted file mode 100755 index b1694c2..0000000 --- a/project/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/compile/incOptions/_global/streams/out b/project/project/project/target/streams/compile/incOptions/_global/streams/out deleted file mode 100755 index 7231079..0000000 --- a/project/project/project/target/streams/compile/incOptions/_global/streams/out +++ /dev/null @@ -1,5 +0,0 @@ -[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes.bak -[debug] About to delete class files: -[debug] We backup class files: -[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes.bak -[debug] Removing the temporary directory used for backing up class files: /run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes.bak diff --git a/project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export b/project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export deleted file mode 100755 index 8b13789..0000000 --- a/project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ - diff --git a/project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/out b/project/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/compile/managedClasspath/_global/streams/export b/project/project/project/target/streams/compile/managedClasspath/_global/streams/export deleted file mode 100755 index b1694c2..0000000 --- a/project/project/project/target/streams/compile/managedClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/compile/scalacOptions/_global/streams/out b/project/project/project/target/streams/compile/scalacOptions/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export b/project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export deleted file mode 100755 index 8b13789..0000000 --- a/project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ - diff --git a/project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/out b/project/project/project/target/streams/compile/unmanagedClasspath/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/compile/unmanagedJars/_global/streams/export b/project/project/project/target/streams/compile/unmanagedJars/_global/streams/export deleted file mode 100755 index 8b13789..0000000 --- a/project/project/project/target/streams/compile/unmanagedJars/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ - diff --git a/project/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export b/project/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export deleted file mode 100755 index 3f98510..0000000 --- a/project/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/runtime/exportedProducts/_global/streams/export b/project/project/project/target/streams/runtime/exportedProducts/_global/streams/export deleted file mode 100755 index 8d01fa8..0000000 --- a/project/project/project/target/streams/runtime/exportedProducts/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export b/project/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export deleted file mode 100755 index bbd3b52..0000000 --- a/project/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/runtime/fullClasspath/_global/streams/export b/project/project/project/target/streams/runtime/fullClasspath/_global/streams/export deleted file mode 100755 index 3f98510..0000000 --- a/project/project/project/target/streams/runtime/fullClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export b/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export deleted file mode 100755 index 8d01fa8..0000000 --- a/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out b/project/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/runtime/managedClasspath/_global/streams/export b/project/project/project/target/streams/runtime/managedClasspath/_global/streams/export deleted file mode 100755 index bbd3b52..0000000 --- a/project/project/project/target/streams/runtime/managedClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export b/project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export deleted file mode 100755 index 8b13789..0000000 --- a/project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ - diff --git a/project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/out b/project/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/project/target/streams/runtime/unmanagedJars/_global/streams/export b/project/project/project/target/streams/runtime/unmanagedJars/_global/streams/export deleted file mode 100755 index 8b13789..0000000 --- a/project/project/project/target/streams/runtime/unmanagedJars/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ - diff --git a/project/project/target/config-classes/$3584e5917ebead612fb4.cache b/project/project/target/config-classes/$6080031bccf8a03d91ba.cache old mode 100755 new mode 100644 similarity index 100% rename from project/project/target/config-classes/$3584e5917ebead612fb4.cache rename to project/project/target/config-classes/$6080031bccf8a03d91ba.cache diff --git a/project/project/target/config-classes/$8336910b880f89dfa68a.cache b/project/project/target/config-classes/$9fddc3a6676a1ed50a85.cache old mode 100755 new mode 100644 similarity index 100% rename from project/project/target/config-classes/$8336910b880f89dfa68a.cache rename to project/project/target/config-classes/$9fddc3a6676a1ed50a85.cache diff --git a/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource b/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource deleted file mode 100755 index 9d348e7..0000000 --- a/project/project/target/scala-2.12/sbt-1.0/sync/copy-resource +++ /dev/null @@ -1 +0,0 @@ -[[{},{}],{}] \ No newline at end of file diff --git a/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs b/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs deleted file mode 100755 index 7f426e8..0000000 --- a/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs +++ /dev/null @@ -1 +0,0 @@ --2083014398 \ No newline at end of file diff --git a/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output b/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output deleted file mode 100755 index 5cdd450..0000000 --- a/project/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output +++ /dev/null @@ -1 +0,0 @@ -{"cachedDescriptor":".","configurations":[{"configuration":{"name":"compile"},"modules":[],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"plugin"},"modules":[],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"provided"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"runtime"},"modules":[],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[],"details":[]},{"configuration":{"name":"scala-doc-tool"},"modules":[],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.18","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-lang","name":"*","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"bundle","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.1.0/scala-xml_2.12-2.1.0.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.1.0/scala-xml_2.12-2.1.0.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"jar","extension":"jar","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The BSD License","http://www.opensource.org/licenses/bsd-license.php"]],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-sbt","name":"io_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-position_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"sbt","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"util-logging_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}},{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"jar","extension":"jar","configurations":[],"extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":true},"stamps":{}} \ No newline at end of file diff --git a/project/project/target/streams/_global/_global/_global/streams/out b/project/project/target/streams/_global/_global/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/_global/csrConfiguration/_global/streams/out b/project/project/target/streams/_global/csrConfiguration/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/_global/csrProject/_global/streams/out b/project/project/target/streams/_global/csrProject/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp b/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp deleted file mode 100755 index c09d4f8..0000000 --- a/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp +++ /dev/null @@ -1 +0,0 @@ --2132504562 \ No newline at end of file diff --git a/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp b/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp deleted file mode 100755 index 7a9f043..0000000 --- a/project/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp +++ /dev/null @@ -1 +0,0 @@ -{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","startLine"],"path":"(sbt.Classpaths.jvmBaseSettings) Defaults.scala","startLine":3342},"type":"LinePosition"}} \ No newline at end of file diff --git a/project/project/target/streams/_global/ivyConfiguration/_global/streams/out b/project/project/target/streams/_global/ivyConfiguration/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/_global/ivySbt/_global/streams/out b/project/project/target/streams/_global/ivySbt/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/_global/moduleSettings/_global/streams/out b/project/project/target/streams/_global/moduleSettings/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/_global/projectDescriptors/_global/streams/out b/project/project/target/streams/_global/projectDescriptors/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out b/project/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/_global/update/_global/streams/out b/project/project/target/streams/_global/update/_global/streams/out deleted file mode 100755 index 587fe53..0000000 --- a/project/project/target/streams/_global/update/_global/streams/out +++ /dev/null @@ -1,3 +0,0 @@ -[debug] not up to date. inChanged = true, force = false -[debug] Updating ProjectRef(uri("file:/run/media/gh0s7/Data/project/ddca2024/micore/project/project/"), "micore-build-build")... -[debug] Done updating ProjectRef(uri("file:/run/media/gh0s7/Data/project/ddca2024/micore/project/project/"), "micore-build-build") diff --git a/project/project/target/streams/compile/_global/_global/compileOutputs/previous b/project/project/target/streams/compile/_global/_global/compileOutputs/previous deleted file mode 100755 index 29633ad..0000000 --- a/project/project/target/streams/compile/_global/_global/compileOutputs/previous +++ /dev/null @@ -1 +0,0 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data b/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data deleted file mode 100755 index 0637a08..0000000 --- a/project/project/target/streams/compile/_global/_global/discoveredMainClasses/data +++ /dev/null @@ -1 +0,0 @@ -[] \ No newline at end of file diff --git a/project/project/target/streams/compile/bspReporter/_global/streams/out b/project/project/target/streams/compile/bspReporter/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/compile/compile/_global/streams/out b/project/project/target/streams/compile/compile/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/compile/compileIncremental/_global/streams/export b/project/project/target/streams/compile/compileIncremental/_global/streams/export deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/compile/compileIncremental/_global/streams/out b/project/project/target/streams/compile/compileIncremental/_global/streams/out deleted file mode 100755 index 9cca155..0000000 --- a/project/project/target/streams/compile/compileIncremental/_global/streams/out +++ /dev/null @@ -1,6 +0,0 @@ -[debug] [zinc] IncrementalCompile ----------- -[debug] IncrementalCompile.incrementalCompile -[debug] previous = Stamps for: 0 products, 0 sources, 0 libraries -[debug] current source = Set() -[debug] > initialChanges = InitialChanges(Changes(added = Set(), removed = Set(), changed = Set(), unmodified = ...),Set(),Set(),API Changes: Set()) -[debug] Full compilation, no sources in previous analysis. diff --git a/project/project/target/streams/compile/copyResources/_global/streams/out b/project/project/target/streams/compile/copyResources/_global/streams/out deleted file mode 100755 index f25042f..0000000 --- a/project/project/target/streams/compile/copyResources/_global/streams/out +++ /dev/null @@ -1,2 +0,0 @@ -[debug] Copy resource mappings:  -[debug]   diff --git a/project/project/target/streams/compile/dependencyClasspath/_global/streams/export b/project/project/target/streams/compile/dependencyClasspath/_global/streams/export deleted file mode 100755 index b1694c2..0000000 --- a/project/project/target/streams/compile/dependencyClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/compile/exportedProducts/_global/streams/export b/project/project/target/streams/compile/exportedProducts/_global/streams/export deleted file mode 100755 index 454272b..0000000 --- a/project/project/target/streams/compile/exportedProducts/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export b/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export deleted file mode 100755 index b1694c2..0000000 --- a/project/project/target/streams/compile/externalDependencyClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/compile/incOptions/_global/streams/out b/project/project/target/streams/compile/incOptions/_global/streams/out deleted file mode 100755 index 66abc73..0000000 --- a/project/project/target/streams/compile/incOptions/_global/streams/out +++ /dev/null @@ -1,5 +0,0 @@ -[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes.bak -[debug] About to delete class files: -[debug] We backup class files: -[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes.bak -[debug] Removing the temporary directory used for backing up class files: /run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes.bak diff --git a/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export b/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export deleted file mode 100755 index 8b13789..0000000 --- a/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ - diff --git a/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/out b/project/project/target/streams/compile/internalDependencyClasspath/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/compile/managedClasspath/_global/streams/export b/project/project/target/streams/compile/managedClasspath/_global/streams/export deleted file mode 100755 index b1694c2..0000000 --- a/project/project/target/streams/compile/managedClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/compile/scalacOptions/_global/streams/out b/project/project/target/streams/compile/scalacOptions/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export b/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export deleted file mode 100755 index 8b13789..0000000 --- a/project/project/target/streams/compile/unmanagedClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ - diff --git a/project/project/target/streams/compile/unmanagedClasspath/_global/streams/out b/project/project/target/streams/compile/unmanagedClasspath/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/compile/unmanagedJars/_global/streams/export b/project/project/target/streams/compile/unmanagedJars/_global/streams/export deleted file mode 100755 index 8b13789..0000000 --- a/project/project/target/streams/compile/unmanagedJars/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ - diff --git a/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export b/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export deleted file mode 100755 index 4c93399..0000000 --- a/project/project/target/streams/runtime/dependencyClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/runtime/exportedProducts/_global/streams/export b/project/project/target/streams/runtime/exportedProducts/_global/streams/export deleted file mode 100755 index 454272b..0000000 --- a/project/project/target/streams/runtime/exportedProducts/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export b/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export deleted file mode 100755 index bbd3b52..0000000 --- a/project/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/runtime/fullClasspath/_global/streams/export b/project/project/target/streams/runtime/fullClasspath/_global/streams/export deleted file mode 100755 index 4c93399..0000000 --- a/project/project/target/streams/runtime/fullClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export b/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export deleted file mode 100755 index 454272b..0000000 --- a/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out b/project/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/runtime/managedClasspath/_global/streams/export b/project/project/target/streams/runtime/managedClasspath/_global/streams/export deleted file mode 100755 index bbd3b52..0000000 --- a/project/project/target/streams/runtime/managedClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ -/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export b/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export deleted file mode 100755 index 8b13789..0000000 --- a/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ - diff --git a/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/out b/project/project/target/streams/runtime/unmanagedClasspath/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/project/target/streams/runtime/unmanagedJars/_global/streams/export b/project/project/target/streams/runtime/unmanagedJars/_global/streams/export deleted file mode 100755 index 8b13789..0000000 --- a/project/project/target/streams/runtime/unmanagedJars/_global/streams/export +++ /dev/null @@ -1 +0,0 @@ - diff --git a/project/target/config-classes/$948efd6ee3ba8f09824e.cache b/project/target/config-classes/$87709882d327edfdfbe4.cache old mode 100755 new mode 100644 similarity index 100% rename from project/target/config-classes/$948efd6ee3ba8f09824e.cache rename to project/target/config-classes/$87709882d327edfdfbe4.cache diff --git a/project/target/config-classes/$2b8c65d0a3a3f42e1015.cache b/project/target/config-classes/$92eace74df3dd60b2407.cache old mode 100755 new mode 100644 similarity index 100% rename from project/target/config-classes/$2b8c65d0a3a3f42e1015.cache rename to project/target/config-classes/$92eace74df3dd60b2407.cache diff --git a/project/target/config-classes/$add241eb0c1a6339f011.cache b/project/target/config-classes/$b99baa0e80f987ddc888.cache old mode 100755 new mode 100644 similarity index 100% rename from project/target/config-classes/$add241eb0c1a6339f011.cache rename to project/target/config-classes/$b99baa0e80f987ddc888.cache diff --git a/project/target/config-classes/$eac2b8278586e49cb092.cache b/project/target/config-classes/$bc99ac17cdce7fb9c74c.cache old mode 100755 new mode 100644 similarity index 100% rename from project/target/config-classes/$eac2b8278586e49cb092.cache rename to project/target/config-classes/$bc99ac17cdce7fb9c74c.cache diff --git a/project/target/scala-2.12/sbt-1.0/sync/copy-resource b/project/target/scala-2.12/sbt-1.0/sync/copy-resource old mode 100755 new mode 100644 diff --git a/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs b/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs old mode 100755 new mode 100644 diff --git a/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output b/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/output old mode 100755 new mode 100644 diff --git a/project/target/streams/_global/_global/_global/streams/out b/project/target/streams/_global/_global/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/_global/_global/bloopInstall/_global/streams/out b/project/target/streams/_global/_global/bloopInstall/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/target/streams/_global/csrConfiguration/_global/streams/out b/project/target/streams/_global/csrConfiguration/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/_global/csrProject/_global/streams/out b/project/target/streams/_global/csrProject/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp b/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/input_dsp old mode 100755 new mode 100644 diff --git a/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp b/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp old mode 100755 new mode 100644 index 00763b6..93fa874 --- a/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp +++ b/project/target/streams/_global/dependencyPositions/_global/streams/update_cache_2.12/output_dsp @@ -1 +1 @@ -{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/run/media/gh0s7/Data/project/ddca2024/micore/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"},"{\"organization\":\"ch.epfl.scala\",\"name\":\"sbt-bloop\",\"revision\":\"2.0.6\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{\"e:sbtVersion\":\"1.0\",\"e:scalaVersion\":\"2.12\"},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/run/media/gh0s7/Data/project/ddca2024/micore/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"}} \ No newline at end of file +{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.18\",\"configurations\":\"provided\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/home/gh0s7/project/ddca/micore/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"},"{\"organization\":\"ch.epfl.scala\",\"name\":\"sbt-bloop\",\"revision\":\"2.0.6\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{\"e:sbtVersion\":\"1.0\",\"e:scalaVersion\":\"2.12\"},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","range"],"path":"/home/gh0s7/project/ddca/micore/project/metals.sbt","range":{"$fields":["start","end"],"start":6,"end":7}},"type":"RangePosition"}} \ No newline at end of file diff --git a/project/target/streams/_global/ivyConfiguration/_global/streams/out b/project/target/streams/_global/ivyConfiguration/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/_global/ivySbt/_global/streams/out b/project/target/streams/_global/ivySbt/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/_global/moduleSettings/_global/streams/out b/project/target/streams/_global/moduleSettings/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/_global/projectDescriptors/_global/streams/out b/project/target/streams/_global/projectDescriptors/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out b/project/target/streams/_global/scalaCompilerBridgeScope/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/_global/update/_global/streams/out b/project/target/streams/_global/update/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/_global/updateClassifiers/_global/streams/out b/project/target/streams/_global/updateClassifiers/_global/streams/out deleted file mode 100755 index 6e08f01..0000000 --- a/project/target/streams/_global/updateClassifiers/_global/streams/out +++ /dev/null @@ -1,3 +0,0 @@ -[debug] not up to date. inChanged = true, force = false -[debug] Updating ProjectRef(uri("file:/home/gh0s7/project/ddca/micore/project/"), "micore-build")... -[debug] Done updating ProjectRef(uri("file:/home/gh0s7/project/ddca/micore/project/"), "micore-build") diff --git a/project/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/inputs b/project/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/inputs deleted file mode 100755 index 0120f9f..0000000 --- a/project/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/inputs +++ /dev/null @@ -1 +0,0 @@ -606022120 \ No newline at end of file diff --git a/project/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/output b/project/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/output deleted file mode 100755 index a2b073f..0000000 --- a/project/target/streams/_global/updateClassifiers/_global/streams/update_cache_2.12/output +++ /dev/null @@ -1 +0,0 @@ -{"cachedDescriptor":".","configurations":[{"configuration":{"name":"compile"},"modules":[{"module":{"organization":"ch.epfl.scala","name":"sbt-bloop","revision":"2.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-bloop","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6-sources.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalacenter/bloop","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"ch.epfl.scala","name":"bloop-config_2.12","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"bloop-config_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalacenter/bloop-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://spdx.org/licenses/Apache-2.0.html"]],"callers":[]},{"module":{"organization":"com.github.plokhotnyuk.jsoniter-scala","name":"jsoniter-scala-core_2.12","revision":"2.30.14","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsoniter-scala-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/plokhotnyuk/jsoniter-scala","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT License","https://opensource.org/licenses/mit-license.html"]],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"unroll-annotation_2.12","revision":"0.1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"unroll-annotation_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/com-lihaoyi/unroll","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT","https://spdx.org/licenses/MIT.html"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"compile-internal"},"modules":[{"module":{"organization":"org.scala-sbt","name":"sbt","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.9.7/sbt-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.9.7/sbt-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"ch.epfl.scala","name":"sbt-bloop","revision":"2.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-bloop","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6-sources.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalacenter/bloop","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.9.7/main_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.9.7/main_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"io_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"io_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.9.7/io_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.9.7/io_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/io","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"ch.epfl.scala","name":"bloop-config_2.12","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"bloop-config_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalacenter/bloop-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://spdx.org/licenses/Apache-2.0.html"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"logic_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"logic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.9.7/logic_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.9.7/logic_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"actions_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"actions_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.9.7/actions_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.9.7/actions_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main-settings_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main-settings_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.9.7/main-settings_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.9.7/main-settings_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"run_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"run_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.9.7/run_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.9.7/run_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"command_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"command_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.9.7/command_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.9.7/command_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"collections_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"collections_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.9.7/collections_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.9.7/collections_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-plugin_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.9.7/scripted-plugin_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.9.7/scripted-plugin_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-lm-integration_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-lm-integration_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.9.7/zinc-lm-integration_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.9.7/zinc-lm-integration_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-logging_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-logging_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.9.7/util-logging_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.9.7/util-logging_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://javadoc.io/doc/org.scala-lang.modules/scala-xml_2.13/","info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.2.0/scala-xml_2.12-2.2.0-sources.jar","extraAttributes":{"info.apiURL":"https://javadoc.io/doc/org.scala-lang.modules/scala-xml_2.13/","info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.2.0/scala-xml_2.12-2.2.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://javadoc.io/doc/org.scala-lang.modules/scala-xml_2.13/","info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"launcher-interface","revision":"1.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"launcher-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.4.2/launcher-interface-1.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.4.2/launcher-interface-1.4.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.github.ben-manes.caffeine","name":"caffeine","revision":"2.8.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"caffeine","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.8.5/caffeine-2.8.5-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.8.5/caffeine-2.8.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/ben-manes/caffeine","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache License, Version 2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"io.get-coursier","name":"lm-coursier-shaded_2.12","revision":"2.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lm-coursier-shaded_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.1.2/lm-coursier-shaded_2.12-2.1.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.1.2/lm-coursier-shaded_2.12-2.1.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/coursier/sbt-coursier","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2.0","http://opensource.org/licenses/Apache-2.0"]],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-api","revision":"2.17.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-api","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.17.1/log4j-api-2.17.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.17.1/log4j-api-2.17.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-core","revision":"2.17.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.17.1/log4j-core-2.17.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.17.1/log4j-core-2.17.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-slf4j-impl","revision":"2.17.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-slf4j-impl","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.17.1/log4j-slf4j-impl-2.17.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.17.1/log4j-slf4j-impl-2.17.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","revision":"1.9.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.9.3/librarymanagement-core_2.12-1.9.3-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.9.3/librarymanagement-core_2.12-1.9.3-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","revision":"1.9.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-ivy_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.9.3/librarymanagement-ivy_2.12-1.9.3-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.9.3/librarymanagement-ivy_2.12-1.9.3-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-interface","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.9.5/compiler-interface-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.9.5/compiler-interface-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.9.5/zinc-compile_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.9.5/zinc-compile_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"com.swoval","name":"file-tree-views","revision":"2.1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"file-tree-views","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.12/file-tree-views-2.1.12-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.12/file-tree-views-2.1.12-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/swoval/swoval","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT","https://opensource.org/licenses/MIT"]],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna","revision":"5.13.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna/5.13.0/jna-5.13.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.13.0/jna-5.13.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["LGPL-2.1-or-later","https://www.gnu.org/licenses/old-licenses/lgpl-2.1"],["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna-platform","revision":"5.13.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna-platform","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.13.0/jna-platform-5.13.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.13.0/jna-platform-5.13.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["LGPL-2.1-or-later","https://www.gnu.org/licenses/old-licenses/lgpl-2.1"],["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.github.plokhotnyuk.jsoniter-scala","name":"jsoniter-scala-core_2.12","revision":"2.30.14","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsoniter-scala-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/plokhotnyuk/jsoniter-scala","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT License","https://opensource.org/licenses/mit-license.html"]],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"unroll-annotation_2.12","revision":"0.1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"unroll-annotation_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/com-lihaoyi/unroll","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT","https://spdx.org/licenses/MIT.html"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-relation_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-relation_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.9.7/util-relation_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.9.7/util-relation_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"completion_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"completion_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.9.7/completion_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.9.7/completion_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"task-system_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"task-system_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.9.7/task-system_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.9.7/task-system_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"tasks_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"tasks_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.9.7/tasks_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.9.7/tasks_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"testing_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"testing_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.9.7/testing_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.9.7/testing_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-tracking_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-tracking_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.9.7/util-tracking_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.9.7/util-tracking_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-scalajson_2.12","revision":"0.9.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-scalajson_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.9.1/sjson-new-scalajson_2.12-0.9.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.9.1/sjson-new-scalajson_2.12-0.9.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.jline","name":"jline-terminal","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-terminal","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-terminal/3.19.0/jline-terminal-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-terminal/3.19.0/jline-terminal-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classpath_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classpath_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.9.5/zinc-classpath_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.9.5/zinc-classpath_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-apiinfo_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-apiinfo_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.9.5/zinc-apiinfo_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.9.5/zinc-apiinfo_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.9.5/zinc_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.9.5/zinc_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"core-macros_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"core-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.9.7/core-macros_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.9.7/core-macros_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-cache_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-cache_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.9.7/util-cache_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.9.7/util-cache_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-control_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-control_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.9.7/util-control_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.9.7/util-control_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"protocol_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protocol_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.9.7/protocol_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.9.7/protocol_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-core_2.12","revision":"0.9.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.9.1/sjson-new-core_2.12-0.9.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.9.1/sjson-new-core_2.12-0.9.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"template-resolver","revision":"0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"template-resolver","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/template-resolver","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-position_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-position_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.9.7/util-position_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.9.7/util-position_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile-core_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.9.5/zinc-compile-core_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.9.5/zinc-compile-core_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-interface","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.9.7/util-interface-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.9.7/util-interface-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt.jline","name":"jline","revision":"2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/jline/jline/2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/jline/jline/2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/jline2","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The BSD License","http://www.opensource.org/licenses/bsd-license.php"]],"callers":[]},{"module":{"organization":"org.jline","name":"jline-terminal-jna","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-terminal-jna","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-terminal-jna/3.19.0/jline-terminal-jna-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-terminal-jna/3.19.0/jline-terminal-jna-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.jline","name":"jline-terminal-jansi","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-terminal-jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-terminal-jansi/3.19.0/jline-terminal-jansi-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-terminal-jansi/3.19.0/jline-terminal-jansi-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lmax","name":"disruptor","revision":"3.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"disruptor","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://lmax-exchange.github.com/disruptor","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The Apache Software License, Version 2.0","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.18/scala-reflect-2.12.18-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.18/scala-reflect-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.checkerframework","name":"checker-qual","revision":"3.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"checker-qual","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/checkerframework/checker-qual/3.4.1/checker-qual-3.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/checkerframework/checker-qual/3.4.1/checker-qual-3.4.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://checkerframework.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The MIT License","http://opensource.org/licenses/MIT"]],"callers":[]},{"module":{"organization":"com.google.errorprone","name":"error_prone_annotations","revision":"2.4.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"error_prone_annotations","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/errorprone/error_prone_annotations/2.4.0/error_prone_annotations-2.4.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/errorprone/error_prone_annotations/2.4.0/error_prone_annotations-2.4.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2.0","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-collection-compat_2.12","revision":"2.10.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-collection-compat_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.12/2.10.0/scala-collection-compat_2.12-2.10.0-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.12/2.10.0/scala-collection-compat_2.12-2.10.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.slf4j","name":"slf4j-api","revision":"1.7.36","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"slf4j-api","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.slf4j.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.18/scala-compiler-2.12.18-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.18/scala-compiler-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"com.jcraft","name":"jsch","revision":"0.1.54","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsch","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.jcraft.com/jsch/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Revised BSD","http://www.jcraft.com/jsch/LICENSE.txt"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-apache-http_2.12","revision":"0.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-apache-http_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-apache-http_2.12/0.7.0/gigahorse-apache-http_2.12-0.7.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-apache-http_2.12/0.7.0/gigahorse-apache-http_2.12-0.7.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-sbt.ivy","name":"ivy","revision":"2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ivy","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ivy","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/ivy/blob/2.3.x-sbt/LICENSE"]],"callers":[]},{"module":{"organization":"org.jline","name":"jline-reader","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-reader","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-reader/3.19.0/jline-reader-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-reader/3.19.0/jline-reader-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.jline","name":"jline-builtins","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-builtins","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-builtins/3.19.0/jline-builtins-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-builtins/3.19.0/jline-builtins-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-agent","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-agent","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.9.7/test-agent-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.9.7/test-agent-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["BSD","https://github.com/sbt/test-interface/blob/master/LICENSE"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-jawn-parser_2.12","revision":"0.9.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-jawn-parser_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-jawn-parser_2.12/0.9.1/shaded-jawn-parser_2.12-0.9.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-jawn-parser_2.12/0.9.1/shaded-jawn-parser_2.12-0.9.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-scalajson_2.12","revision":"1.0.0-M4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-scalajson_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/mdedetrich/scalajson","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["BSD 3 Clause","https://opensource.org/licenses/BSD-3-Clause"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-bridge_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-bridge_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.9.5/compiler-bridge_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.9.5/compiler-bridge_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classfile_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classfile_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.9.5/zinc-classfile_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.9.5/zinc-classfile_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-core_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.9.5/zinc-core_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.9.5/zinc-core_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.9.5/zinc-persist_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.9.5/zinc-persist_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-murmurhash_2.12","revision":"0.9.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-murmurhash_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.9.1/sjson-new-murmurhash_2.12-0.9.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.9.1/sjson-new-murmurhash_2.12-0.9.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-sbt.ipcsocket","name":"ipcsocket","revision":"1.6.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ipcsocket","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.6.2/ipcsocket-1.6.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.6.2/ipcsocket-1.6.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ipcsocket","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-parser-combinators_2.12","revision":"1.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-parser-combinators_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"net.openhft","name":"zero-allocation-hashing","revision":"0.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zero-allocation-hashing","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/openhft/zero-allocation-hashing/0.10.1/zero-allocation-hashing-0.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/openhft/zero-allocation-hashing/0.10.1/zero-allocation-hashing-0.10.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/OpenHFT/Zero-Allocation-Hashing","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/2.1.0/jansi-2.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/2.1.0/jansi-2.1.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://fusesource.github.io/jansi","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The Apache Software License, Version 2.0","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-core_2.12","revision":"0.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.7.0/gigahorse-core_2.12-0.7.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.7.0/gigahorse-core_2.12-0.7.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-apache-httpasyncclient","revision":"0.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-apache-httpasyncclient","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-apache-httpasyncclient/0.7.0/shaded-apache-httpasyncclient-0.7.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-apache-httpasyncclient/0.7.0/shaded-apache-httpasyncclient-0.7.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.jline","name":"jline-style","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-style","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-style/3.19.0/jline-style-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-style/3.19.0/jline-style-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist-core-assembly","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist-core-assembly","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist-core-assembly/1.9.5/zinc-persist-core-assembly-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist-core-assembly/1.9.5/zinc-persist-core-assembly-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"sbinary_2.12","revision":"0.5.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbinary_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.1/sbinary_2.12-0.5.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.1/sbinary_2.12-0.5.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbinary","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT","https://github.com/sbt/sbinary/blob/master/LICENSE"]],"callers":[]},{"module":{"organization":"com.typesafe","name":"ssl-config-core_2.12","revision":"0.6.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ssl-config-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.6.1/ssl-config-core_2.12-0.6.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.6.1/ssl-config-core_2.12-0.6.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/ssl-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.reactivestreams","name":"reactive-streams","revision":"1.0.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"reactive-streams","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.3/reactive-streams-1.0.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.3/reactive-streams-1.0.3-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.reactive-streams.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["CC0","http://creativecommons.org/publicdomain/zero/1.0/"]],"callers":[]},{"module":{"organization":"com.typesafe","name":"config","revision":"1.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"config","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/config/1.4.2/config-1.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.4.2/config-1.4.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"docs"},"modules":[],"details":[]},{"configuration":{"name":"optional"},"modules":[],"details":[]},{"configuration":{"name":"plugin"},"modules":[],"details":[]},{"configuration":{"name":"pom"},"modules":[],"details":[]},{"configuration":{"name":"provided"},"modules":[{"module":{"organization":"org.scala-sbt","name":"sbt","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.9.7/sbt-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.9.7/sbt-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.9.7/main_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.9.7/main_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"io_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"io_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.9.7/io_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.9.7/io_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/io","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"logic_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"logic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.9.7/logic_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.9.7/logic_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"actions_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"actions_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.9.7/actions_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.9.7/actions_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main-settings_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main-settings_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.9.7/main-settings_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.9.7/main-settings_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"run_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"run_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.9.7/run_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.9.7/run_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"command_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"command_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.9.7/command_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.9.7/command_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"collections_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"collections_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.9.7/collections_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.9.7/collections_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-plugin_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.9.7/scripted-plugin_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.9.7/scripted-plugin_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-lm-integration_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-lm-integration_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.9.7/zinc-lm-integration_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.9.7/zinc-lm-integration_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-logging_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-logging_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.9.7/util-logging_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.9.7/util-logging_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://javadoc.io/doc/org.scala-lang.modules/scala-xml_2.13/","info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.2.0/scala-xml_2.12-2.2.0-sources.jar","extraAttributes":{"info.apiURL":"https://javadoc.io/doc/org.scala-lang.modules/scala-xml_2.13/","info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.2.0/scala-xml_2.12-2.2.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://javadoc.io/doc/org.scala-lang.modules/scala-xml_2.13/","info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"launcher-interface","revision":"1.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"launcher-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.4.2/launcher-interface-1.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.4.2/launcher-interface-1.4.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.github.ben-manes.caffeine","name":"caffeine","revision":"2.8.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"caffeine","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.8.5/caffeine-2.8.5-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.8.5/caffeine-2.8.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/ben-manes/caffeine","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache License, Version 2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"io.get-coursier","name":"lm-coursier-shaded_2.12","revision":"2.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lm-coursier-shaded_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.1.2/lm-coursier-shaded_2.12-2.1.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.1.2/lm-coursier-shaded_2.12-2.1.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/coursier/sbt-coursier","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2.0","http://opensource.org/licenses/Apache-2.0"]],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-api","revision":"2.17.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-api","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.17.1/log4j-api-2.17.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.17.1/log4j-api-2.17.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-core","revision":"2.17.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.17.1/log4j-core-2.17.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.17.1/log4j-core-2.17.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-slf4j-impl","revision":"2.17.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-slf4j-impl","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.17.1/log4j-slf4j-impl-2.17.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.17.1/log4j-slf4j-impl-2.17.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","revision":"1.9.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.9.3/librarymanagement-core_2.12-1.9.3-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.9.3/librarymanagement-core_2.12-1.9.3-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","revision":"1.9.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-ivy_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.9.3/librarymanagement-ivy_2.12-1.9.3-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.9.3/librarymanagement-ivy_2.12-1.9.3-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-interface","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.9.5/compiler-interface-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.9.5/compiler-interface-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.9.5/zinc-compile_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.9.5/zinc-compile_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"com.swoval","name":"file-tree-views","revision":"2.1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"file-tree-views","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.12/file-tree-views-2.1.12-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.12/file-tree-views-2.1.12-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/swoval/swoval","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT","https://opensource.org/licenses/MIT"]],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna","revision":"5.13.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna/5.13.0/jna-5.13.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.13.0/jna-5.13.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["LGPL-2.1-or-later","https://www.gnu.org/licenses/old-licenses/lgpl-2.1"],["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna-platform","revision":"5.13.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna-platform","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.13.0/jna-platform-5.13.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.13.0/jna-platform-5.13.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["LGPL-2.1-or-later","https://www.gnu.org/licenses/old-licenses/lgpl-2.1"],["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-relation_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-relation_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.9.7/util-relation_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.9.7/util-relation_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"completion_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"completion_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.9.7/completion_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.9.7/completion_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"task-system_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"task-system_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.9.7/task-system_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.9.7/task-system_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"tasks_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"tasks_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.9.7/tasks_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.9.7/tasks_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"testing_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"testing_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.9.7/testing_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.9.7/testing_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-tracking_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-tracking_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.9.7/util-tracking_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.9.7/util-tracking_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-scalajson_2.12","revision":"0.9.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-scalajson_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.9.1/sjson-new-scalajson_2.12-0.9.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.9.1/sjson-new-scalajson_2.12-0.9.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.jline","name":"jline-terminal","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-terminal","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-terminal/3.19.0/jline-terminal-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-terminal/3.19.0/jline-terminal-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classpath_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classpath_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.9.5/zinc-classpath_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.9.5/zinc-classpath_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-apiinfo_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-apiinfo_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.9.5/zinc-apiinfo_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.9.5/zinc-apiinfo_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.9.5/zinc_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.9.5/zinc_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"core-macros_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"core-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.9.7/core-macros_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.9.7/core-macros_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-cache_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-cache_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.9.7/util-cache_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.9.7/util-cache_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-control_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-control_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.9.7/util-control_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.9.7/util-control_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"protocol_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protocol_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.9.7/protocol_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.9.7/protocol_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-core_2.12","revision":"0.9.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.9.1/sjson-new-core_2.12-0.9.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.9.1/sjson-new-core_2.12-0.9.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"template-resolver","revision":"0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"template-resolver","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/template-resolver","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-position_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-position_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.9.7/util-position_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.9.7/util-position_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile-core_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.9.5/zinc-compile-core_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.9.5/zinc-compile-core_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-interface","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.9.7/util-interface-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.9.7/util-interface-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt.jline","name":"jline","revision":"2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/jline/jline/2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/jline/jline/2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/jline2","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The BSD License","http://www.opensource.org/licenses/bsd-license.php"]],"callers":[]},{"module":{"organization":"org.jline","name":"jline-terminal-jna","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-terminal-jna","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-terminal-jna/3.19.0/jline-terminal-jna-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-terminal-jna/3.19.0/jline-terminal-jna-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.jline","name":"jline-terminal-jansi","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-terminal-jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-terminal-jansi/3.19.0/jline-terminal-jansi-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-terminal-jansi/3.19.0/jline-terminal-jansi-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lmax","name":"disruptor","revision":"3.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"disruptor","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://lmax-exchange.github.com/disruptor","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The Apache Software License, Version 2.0","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.18/scala-reflect-2.12.18-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.18/scala-reflect-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.checkerframework","name":"checker-qual","revision":"3.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"checker-qual","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/checkerframework/checker-qual/3.4.1/checker-qual-3.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/checkerframework/checker-qual/3.4.1/checker-qual-3.4.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://checkerframework.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The MIT License","http://opensource.org/licenses/MIT"]],"callers":[]},{"module":{"organization":"com.google.errorprone","name":"error_prone_annotations","revision":"2.4.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"error_prone_annotations","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/errorprone/error_prone_annotations/2.4.0/error_prone_annotations-2.4.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/errorprone/error_prone_annotations/2.4.0/error_prone_annotations-2.4.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2.0","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-collection-compat_2.12","revision":"2.10.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-collection-compat_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.12/2.10.0/scala-collection-compat_2.12-2.10.0-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.12/2.10.0/scala-collection-compat_2.12-2.10.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.slf4j","name":"slf4j-api","revision":"1.7.36","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"slf4j-api","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.slf4j.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.18/scala-compiler-2.12.18-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.18/scala-compiler-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"com.jcraft","name":"jsch","revision":"0.1.54","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsch","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.jcraft.com/jsch/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Revised BSD","http://www.jcraft.com/jsch/LICENSE.txt"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-apache-http_2.12","revision":"0.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-apache-http_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-apache-http_2.12/0.7.0/gigahorse-apache-http_2.12-0.7.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-apache-http_2.12/0.7.0/gigahorse-apache-http_2.12-0.7.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-sbt.ivy","name":"ivy","revision":"2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ivy","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ivy","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/ivy/blob/2.3.x-sbt/LICENSE"]],"callers":[]},{"module":{"organization":"org.jline","name":"jline-reader","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-reader","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-reader/3.19.0/jline-reader-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-reader/3.19.0/jline-reader-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.jline","name":"jline-builtins","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-builtins","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-builtins/3.19.0/jline-builtins-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-builtins/3.19.0/jline-builtins-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-agent","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-agent","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.9.7/test-agent-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.9.7/test-agent-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["BSD","https://github.com/sbt/test-interface/blob/master/LICENSE"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-jawn-parser_2.12","revision":"0.9.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-jawn-parser_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-jawn-parser_2.12/0.9.1/shaded-jawn-parser_2.12-0.9.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-jawn-parser_2.12/0.9.1/shaded-jawn-parser_2.12-0.9.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-scalajson_2.12","revision":"1.0.0-M4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-scalajson_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/mdedetrich/scalajson","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["BSD 3 Clause","https://opensource.org/licenses/BSD-3-Clause"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-bridge_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-bridge_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.9.5/compiler-bridge_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.9.5/compiler-bridge_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classfile_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classfile_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.9.5/zinc-classfile_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.9.5/zinc-classfile_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-core_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.9.5/zinc-core_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.9.5/zinc-core_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.9.5/zinc-persist_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.9.5/zinc-persist_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-murmurhash_2.12","revision":"0.9.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-murmurhash_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.9.1/sjson-new-murmurhash_2.12-0.9.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.9.1/sjson-new-murmurhash_2.12-0.9.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-sbt.ipcsocket","name":"ipcsocket","revision":"1.6.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ipcsocket","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.6.2/ipcsocket-1.6.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.6.2/ipcsocket-1.6.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ipcsocket","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-parser-combinators_2.12","revision":"1.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-parser-combinators_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"net.openhft","name":"zero-allocation-hashing","revision":"0.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zero-allocation-hashing","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/openhft/zero-allocation-hashing/0.10.1/zero-allocation-hashing-0.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/openhft/zero-allocation-hashing/0.10.1/zero-allocation-hashing-0.10.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/OpenHFT/Zero-Allocation-Hashing","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/2.1.0/jansi-2.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/2.1.0/jansi-2.1.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://fusesource.github.io/jansi","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The Apache Software License, Version 2.0","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-core_2.12","revision":"0.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.7.0/gigahorse-core_2.12-0.7.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.7.0/gigahorse-core_2.12-0.7.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-apache-httpasyncclient","revision":"0.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-apache-httpasyncclient","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-apache-httpasyncclient/0.7.0/shaded-apache-httpasyncclient-0.7.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-apache-httpasyncclient/0.7.0/shaded-apache-httpasyncclient-0.7.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.jline","name":"jline-style","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-style","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-style/3.19.0/jline-style-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-style/3.19.0/jline-style-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist-core-assembly","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist-core-assembly","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist-core-assembly/1.9.5/zinc-persist-core-assembly-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist-core-assembly/1.9.5/zinc-persist-core-assembly-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"sbinary_2.12","revision":"0.5.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbinary_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.1/sbinary_2.12-0.5.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.1/sbinary_2.12-0.5.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbinary","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT","https://github.com/sbt/sbinary/blob/master/LICENSE"]],"callers":[]},{"module":{"organization":"com.typesafe","name":"ssl-config-core_2.12","revision":"0.6.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ssl-config-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.6.1/ssl-config-core_2.12-0.6.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.6.1/ssl-config-core_2.12-0.6.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/ssl-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.reactivestreams","name":"reactive-streams","revision":"1.0.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"reactive-streams","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.3/reactive-streams-1.0.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.3/reactive-streams-1.0.3-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.reactive-streams.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["CC0","http://creativecommons.org/publicdomain/zero/1.0/"]],"callers":[]},{"module":{"organization":"com.typesafe","name":"config","revision":"1.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"config","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/config/1.4.2/config-1.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.4.2/config-1.4.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"runtime"},"modules":[{"module":{"organization":"ch.epfl.scala","name":"sbt-bloop","revision":"2.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-bloop","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6-sources.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalacenter/bloop","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"ch.epfl.scala","name":"bloop-config_2.12","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"bloop-config_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalacenter/bloop-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://spdx.org/licenses/Apache-2.0.html"]],"callers":[]},{"module":{"organization":"com.github.plokhotnyuk.jsoniter-scala","name":"jsoniter-scala-core_2.12","revision":"2.30.14","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsoniter-scala-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/plokhotnyuk/jsoniter-scala","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT License","https://opensource.org/licenses/mit-license.html"]],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"unroll-annotation_2.12","revision":"0.1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"unroll-annotation_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/com-lihaoyi/unroll","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT","https://spdx.org/licenses/MIT.html"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"runtime-internal"},"modules":[{"module":{"organization":"ch.epfl.scala","name":"sbt-bloop","revision":"2.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-bloop","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6-sources.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalacenter/bloop","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"ch.epfl.scala","name":"bloop-config_2.12","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"bloop-config_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalacenter/bloop-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://spdx.org/licenses/Apache-2.0.html"]],"callers":[]},{"module":{"organization":"com.github.plokhotnyuk.jsoniter-scala","name":"jsoniter-scala-core_2.12","revision":"2.30.14","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsoniter-scala-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/plokhotnyuk/jsoniter-scala","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT License","https://opensource.org/licenses/mit-license.html"]],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"unroll-annotation_2.12","revision":"0.1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"unroll-annotation_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/com-lihaoyi/unroll","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT","https://spdx.org/licenses/MIT.html"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"scala-doc-tool"},"modules":[],"details":[]},{"configuration":{"name":"scala-tool"},"modules":[{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.18/scala-compiler-2.12.18-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.18/scala-compiler-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.18","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.18/scala-compiler-2.12.18-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.18/scala-compiler-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"optional","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.18/scala-reflect-2.12.18-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.18/scala-reflect-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-lang","name":"*","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.1.0/scala-xml_2.12-2.1.0-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.1.0/scala-xml_2.12-2.1.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"jline","name":"jline","revision":"2.14.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/jline/jline/2.14.6/jline-2.14.6-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The BSD License","http://www.opensource.org/licenses/bsd-license.php"]],"callers":[]}],"details":[]},{"configuration":{"name":"sources"},"modules":[],"details":[]},{"configuration":{"name":"test"},"modules":[{"module":{"organization":"ch.epfl.scala","name":"sbt-bloop","revision":"2.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-bloop","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6-sources.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalacenter/bloop","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"ch.epfl.scala","name":"bloop-config_2.12","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"bloop-config_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalacenter/bloop-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://spdx.org/licenses/Apache-2.0.html"]],"callers":[]},{"module":{"organization":"com.github.plokhotnyuk.jsoniter-scala","name":"jsoniter-scala-core_2.12","revision":"2.30.14","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsoniter-scala-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/plokhotnyuk/jsoniter-scala","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT License","https://opensource.org/licenses/mit-license.html"]],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"unroll-annotation_2.12","revision":"0.1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"unroll-annotation_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/com-lihaoyi/unroll","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT","https://spdx.org/licenses/MIT.html"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]},{"configuration":{"name":"test-internal"},"modules":[{"module":{"organization":"org.scala-sbt","name":"sbt","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbt/1.9.7/sbt-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbt/1.9.7/sbt-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-library","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-library","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.12.18/scala-library-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"ch.epfl.scala","name":"sbt-bloop","revision":"2.0.6","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbt-bloop","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6-sources.jar","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalacenter/bloop","extraAttributes":{"sbtVersion":"1.0","scalaVersion":"2.12"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.9.7/main_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main_2.12/1.9.7/main_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"io_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"io_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.9.7/io_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/io_2.12/1.9.7/io_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/io","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"ch.epfl.scala","name":"bloop-config_2.12","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"bloop-config_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/scalacenter/bloop-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://spdx.org/licenses/Apache-2.0.html"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"logic_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"logic_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.9.7/logic_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/logic_2.12/1.9.7/logic_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"actions_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"actions_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.9.7/actions_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/actions_2.12/1.9.7/actions_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"main-settings_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"main-settings_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.9.7/main-settings_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/main-settings_2.12/1.9.7/main-settings_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"run_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"run_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.9.7/run_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/run_2.12/1.9.7/run_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"command_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"command_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.9.7/command_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/command_2.12/1.9.7/command_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"collections_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"collections_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.9.7/collections_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/collections_2.12/1.9.7/collections_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"scripted-plugin_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scripted-plugin_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.9.7/scripted-plugin_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/scripted-plugin_2.12/1.9.7/scripted-plugin_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-lm-integration_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-lm-integration_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.9.7/zinc-lm-integration_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-lm-integration_2.12/1.9.7/zinc-lm-integration_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-logging_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-logging_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.9.7/util-logging_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-logging_2.12/1.9.7/util-logging_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","revision":"2.2.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://javadoc.io/doc/org.scala-lang.modules/scala-xml_2.13/","info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-xml_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.2.0/scala-xml_2.12-2.2.0-sources.jar","extraAttributes":{"info.apiURL":"https://javadoc.io/doc/org.scala-lang.modules/scala-xml_2.13/","info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/2.2.0/scala-xml_2.12-2.2.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://javadoc.io/doc/org.scala-lang.modules/scala-xml_2.13/","info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"launcher-interface","revision":"1.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"launcher-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.4.2/launcher-interface-1.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.4.2/launcher-interface-1.4.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.github.ben-manes.caffeine","name":"caffeine","revision":"2.8.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"caffeine","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.8.5/caffeine-2.8.5-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/ben-manes/caffeine/caffeine/2.8.5/caffeine-2.8.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/ben-manes/caffeine","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache License, Version 2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"io.get-coursier","name":"lm-coursier-shaded_2.12","revision":"2.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"lm-coursier-shaded_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.1.2/lm-coursier-shaded_2.12-2.1.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/lm-coursier-shaded_2.12/2.1.2/lm-coursier-shaded_2.12-2.1.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/coursier/sbt-coursier","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2.0","http://opensource.org/licenses/Apache-2.0"]],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-api","revision":"2.17.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-api","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.17.1/log4j-api-2.17.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-api/2.17.1/log4j-api-2.17.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-core","revision":"2.17.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-core","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.17.1/log4j-core-2.17.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-core/2.17.1/log4j-core-2.17.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.apache.logging.log4j","name":"log4j-slf4j-impl","revision":"2.17.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"log4j-slf4j-impl","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.17.1/log4j-slf4j-impl-2.17.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/logging/log4j/log4j-slf4j-impl/2.17.1/log4j-slf4j-impl-2.17.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-core_2.12","revision":"1.9.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.9.3/librarymanagement-core_2.12-1.9.3-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-core_2.12/1.9.3/librarymanagement-core_2.12-1.9.3-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"librarymanagement-ivy_2.12","revision":"1.9.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"librarymanagement-ivy_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.9.3/librarymanagement-ivy_2.12-1.9.3-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/librarymanagement-ivy_2.12/1.9.3/librarymanagement-ivy_2.12-1.9.3-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/librarymanagement","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-interface","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.9.5/compiler-interface-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-interface/1.9.5/compiler-interface-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.9.5/zinc-compile_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile_2.12/1.9.5/zinc-compile_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"com.swoval","name":"file-tree-views","revision":"2.1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"file-tree-views","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.12/file-tree-views-2.1.12-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/swoval/file-tree-views/2.1.12/file-tree-views-2.1.12-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/swoval/swoval","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT","https://opensource.org/licenses/MIT"]],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna","revision":"5.13.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna/5.13.0/jna-5.13.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna/5.13.0/jna-5.13.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["LGPL-2.1-or-later","https://www.gnu.org/licenses/old-licenses/lgpl-2.1"],["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"net.java.dev.jna","name":"jna-platform","revision":"5.13.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jna-platform","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.13.0/jna-platform-5.13.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/java/dev/jna/jna-platform/5.13.0/jna-platform-5.13.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/java-native-access/jna","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["LGPL-2.1-or-later","https://www.gnu.org/licenses/old-licenses/lgpl-2.1"],["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.github.plokhotnyuk.jsoniter-scala","name":"jsoniter-scala-core_2.12","revision":"2.30.14","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsoniter-scala-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/plokhotnyuk/jsoniter-scala","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT License","https://opensource.org/licenses/mit-license.html"]],"callers":[]},{"module":{"organization":"com.lihaoyi","name":"unroll-annotation_2.12","revision":"0.1.12","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"unroll-annotation_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/com-lihaoyi/unroll","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT","https://spdx.org/licenses/MIT.html"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-relation_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-relation_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.9.7/util-relation_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-relation_2.12/1.9.7/util-relation_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"completion_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"completion_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.9.7/completion_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/completion_2.12/1.9.7/completion_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"task-system_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"task-system_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.9.7/task-system_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/task-system_2.12/1.9.7/task-system_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"tasks_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"tasks_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.9.7/tasks_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/tasks_2.12/1.9.7/tasks_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"testing_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"testing_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.9.7/testing_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/testing_2.12/1.9.7/testing_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-tracking_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-tracking_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.9.7/util-tracking_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-tracking_2.12/1.9.7/util-tracking_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-scalajson_2.12","revision":"0.9.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-scalajson_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.9.1/sjson-new-scalajson_2.12-0.9.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-scalajson_2.12/0.9.1/sjson-new-scalajson_2.12-0.9.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.jline","name":"jline-terminal","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-terminal","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-terminal/3.19.0/jline-terminal-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-terminal/3.19.0/jline-terminal-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classpath_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classpath_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.9.5/zinc-classpath_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classpath_2.12/1.9.5/zinc-classpath_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-apiinfo_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-apiinfo_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.9.5/zinc-apiinfo_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-apiinfo_2.12/1.9.5/zinc-apiinfo_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.9.5/zinc_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc_2.12/1.9.5/zinc_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"core-macros_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"core-macros_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.9.7/core-macros_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/core-macros_2.12/1.9.7/core-macros_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-cache_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-cache_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.9.7/util-cache_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-cache_2.12/1.9.7/util-cache_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-control_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-control_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.9.7/util-control_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-control_2.12/1.9.7/util-control_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"protocol_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"protocol_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.9.7/protocol_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/protocol_2.12/1.9.7/protocol_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-core_2.12","revision":"0.9.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.9.1/sjson-new-core_2.12-0.9.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-core_2.12/0.9.1/sjson-new-core_2.12-0.9.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"template-resolver","revision":"0.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"template-resolver","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/template-resolver","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","http://www.apache.org/licenses/LICENSE-2.0.html"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-position_2.12","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-position_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.9.7/util-position_2.12-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-position_2.12/1.9.7/util-position_2.12-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-compile-core_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-compile-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.9.5/zinc-compile-core_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-compile-core_2.12/1.9.5/zinc-compile-core_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"util-interface","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"util-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/util-interface/1.9.7/util-interface-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/util-interface/1.9.7/util-interface-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt.jline","name":"jline","revision":"2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/jline/jline/2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/jline/jline/2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/jline2","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The BSD License","http://www.opensource.org/licenses/bsd-license.php"]],"callers":[]},{"module":{"organization":"org.jline","name":"jline-terminal-jna","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-terminal-jna","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-terminal-jna/3.19.0/jline-terminal-jna-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-terminal-jna/3.19.0/jline-terminal-jna-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.jline","name":"jline-terminal-jansi","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-terminal-jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-terminal-jansi/3.19.0/jline-terminal-jansi-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-terminal-jansi/3.19.0/jline-terminal-jansi-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"com.lmax","name":"disruptor","revision":"3.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"disruptor","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lmax/disruptor/3.4.2/disruptor-3.4.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://lmax-exchange.github.com/disruptor","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The Apache Software License, Version 2.0","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-reflect","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-reflect","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.18/scala-reflect-2.12.18-sources.jar","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.12.18/scala-reflect-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{"info.apiURL":"https://www.scala-lang.org/api/2.12.18/"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.checkerframework","name":"checker-qual","revision":"3.4.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"checker-qual","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/checkerframework/checker-qual/3.4.1/checker-qual-3.4.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/checkerframework/checker-qual/3.4.1/checker-qual-3.4.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://checkerframework.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The MIT License","http://opensource.org/licenses/MIT"]],"callers":[]},{"module":{"organization":"com.google.errorprone","name":"error_prone_annotations","revision":"2.4.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"error_prone_annotations","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/google/errorprone/error_prone_annotations/2.4.0/error_prone_annotations-2.4.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/errorprone/error_prone_annotations/2.4.0/error_prone_annotations-2.4.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2.0","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-collection-compat_2.12","revision":"2.10.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-collection-compat_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.12/2.10.0/scala-collection-compat_2.12-2.10.0-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.12/2.10.0/scala-collection-compat_2.12-2.10.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.slf4j","name":"slf4j-api","revision":"1.7.36","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"slf4j-api","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.slf4j.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-lang","name":"scala-compiler","revision":"2.12.18","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-compiler","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.18/scala-compiler-2.12.18-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.12.18/scala-compiler-2.12.18-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"com.jcraft","name":"jsch","revision":"0.1.54","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jsch","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/jcraft/jsch/0.1.54/jsch-0.1.54-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.jcraft.com/jsch/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Revised BSD","http://www.jcraft.com/jsch/LICENSE.txt"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-apache-http_2.12","revision":"0.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-apache-http_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-apache-http_2.12/0.7.0/gigahorse-apache-http_2.12-0.7.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-apache-http_2.12/0.7.0/gigahorse-apache-http_2.12-0.7.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-sbt.ivy","name":"ivy","revision":"2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ivy","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ivy","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/ivy/blob/2.3.x-sbt/LICENSE"]],"callers":[]},{"module":{"organization":"org.jline","name":"jline-reader","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-reader","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-reader/3.19.0/jline-reader-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-reader/3.19.0/jline-reader-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.jline","name":"jline-builtins","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-builtins","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-builtins/3.19.0/jline-builtins-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-builtins/3.19.0/jline-builtins-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-agent","revision":"1.9.7","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-agent","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-agent/1.9.7/test-agent-1.9.7-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-agent/1.9.7/test-agent-1.9.7-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbt","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://github.com/sbt/sbt/blob/develop/LICENSE"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"test-interface","revision":"1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"test-interface","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-sbt.org","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["BSD","https://github.com/sbt/test-interface/blob/master/LICENSE"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-jawn-parser_2.12","revision":"0.9.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-jawn-parser_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-jawn-parser_2.12/0.9.1/shaded-jawn-parser_2.12-0.9.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-jawn-parser_2.12/0.9.1/shaded-jawn-parser_2.12-0.9.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-scalajson_2.12","revision":"1.0.0-M4","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-scalajson_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-scalajson_2.12/1.0.0-M4/shaded-scalajson_2.12-1.0.0-M4-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/mdedetrich/scalajson","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["BSD 3 Clause","https://opensource.org/licenses/BSD-3-Clause"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"compiler-bridge_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"compiler-bridge_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.9.5/compiler-bridge_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/compiler-bridge_2.12/1.9.5/compiler-bridge_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-classfile_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-classfile_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.9.5/zinc-classfile_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-classfile_2.12/1.9.5/zinc-classfile_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-core_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.9.5/zinc-core_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-core_2.12/1.9.5/zinc-core_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist_2.12","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.9.5/zinc-persist_2.12-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist_2.12/1.9.5/zinc-persist_2.12-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"sjson-new-murmurhash_2.12","revision":"0.9.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sjson-new-murmurhash_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.9.1/sjson-new-murmurhash_2.12-0.9.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/sjson-new-murmurhash_2.12/0.9.1/sjson-new-murmurhash_2.12-0.9.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/sjson-new","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-sbt.ipcsocket","name":"ipcsocket","revision":"1.6.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ipcsocket","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.6.2/ipcsocket-1.6.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/ipcsocket/ipcsocket/1.6.2/ipcsocket-1.6.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/ipcsocket","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.scala-lang.modules","name":"scala-parser-combinators_2.12","revision":"1.1.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"scala-parser-combinators_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parser-combinators_2.12/1.1.2/scala-parser-combinators_2.12-1.1.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.scala-lang.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"net.openhft","name":"zero-allocation-hashing","revision":"0.10.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zero-allocation-hashing","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/net/openhft/zero-allocation-hashing/0.10.1/zero-allocation-hashing-0.10.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/openhft/zero-allocation-hashing/0.10.1/zero-allocation-hashing-0.10.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/OpenHFT/Zero-Allocation-Hashing","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.fusesource.jansi","name":"jansi","revision":"2.1.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jansi","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/2.1.0/jansi-2.1.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/2.1.0/jansi-2.1.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://fusesource.github.io/jansi","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["The Apache Software License, Version 2.0","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"gigahorse-core_2.12","revision":"0.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"gigahorse-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.7.0/gigahorse-core_2.12-0.7.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/gigahorse-core_2.12/0.7.0/gigahorse-core_2.12-0.7.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"com.eed3si9n","name":"shaded-apache-httpasyncclient","revision":"0.7.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"shaded-apache-httpasyncclient","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/eed3si9n/shaded-apache-httpasyncclient/0.7.0/shaded-apache-httpasyncclient-0.7.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/eed3si9n/shaded-apache-httpasyncclient/0.7.0/shaded-apache-httpasyncclient-0.7.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/eed3si9n/gigahorse","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache 2","http://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.jline","name":"jline-style","revision":"3.19.0","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"jline-style","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/jline/jline-style/3.19.0/jline-style-3.19.0-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/jline/jline-style/3.19.0/jline-style-3.19.0-sources.jar"]],"missingArtifacts":[],"evicted":false,"extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"zinc-persist-core-assembly","revision":"1.9.5","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{"info.versionScheme":"early-semver"},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"zinc-persist-core-assembly","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/zinc-persist-core-assembly/1.9.5/zinc-persist-core-assembly-1.9.5-sources.jar","extraAttributes":{"info.versionScheme":"early-semver"},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/zinc-persist-core-assembly/1.9.5/zinc-persist-core-assembly-1.9.5-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/zinc","extraAttributes":{"info.versionScheme":"early-semver"},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]},{"module":{"organization":"org.scala-sbt","name":"sbinary_2.12","revision":"0.5.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[{"organization":"org.scala-lang.modules","name":"scala-xml_2.12","artifact":"*","configurations":[],"crossVersion":{"type":"Disabled"}}],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"sbinary_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.1/sbinary_2.12-0.5.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/sbinary_2.12/0.5.1/sbinary_2.12-0.5.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/sbt/sbinary","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["MIT","https://github.com/sbt/sbinary/blob/master/LICENSE"]],"callers":[]},{"module":{"organization":"com.typesafe","name":"ssl-config-core_2.12","revision":"0.6.1","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"ssl-config-core_2.12","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.6.1/ssl-config-core_2.12-0.6.1-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/ssl-config-core_2.12/0.6.1/ssl-config-core_2.12-0.6.1-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/ssl-config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0.txt"]],"callers":[]},{"module":{"organization":"org.reactivestreams","name":"reactive-streams","revision":"1.0.3","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"reactive-streams","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.3/reactive-streams-1.0.3-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/reactivestreams/reactive-streams/1.0.3/reactive-streams-1.0.3-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"http://www.reactive-streams.org/","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["CC0","http://creativecommons.org/publicdomain/zero/1.0/"]],"callers":[]},{"module":{"organization":"com.typesafe","name":"config","revision":"1.4.2","configurations":"default","isChanging":false,"isTransitive":true,"isForce":false,"explicitArtifacts":[],"inclusions":[],"exclusions":[],"extraAttributes":{},"crossVersion":{"type":"Disabled"}},"artifacts":[[{"name":"config","type":"src","extension":"jar","classifier":"sources","configurations":[],"url":"https://repo1.maven.org/maven2/com/typesafe/config/1.4.2/config-1.4.2-sources.jar","extraAttributes":{},"allowInsecureProtocol":false},"file:///home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/typesafe/config/1.4.2/config-1.4.2-sources.jar"]],"missingArtifacts":[],"evicted":false,"homepage":"https://github.com/lightbend/config","extraAttributes":{},"configurations":[{"name":"test"},{"name":"optional"},{"name":"compile"},{"name":"default"},{"name":"runtime"}],"licenses":[["Apache-2.0","https://www.apache.org/licenses/LICENSE-2.0"]],"callers":[]}],"details":[]}],"stats":{"resolveTime":-1,"downloadTime":-1,"downloadSize":-1,"cached":true},"stamps":{}} \ No newline at end of file diff --git a/project/target/streams/_global/updateSbtClassifiers/_global/streams/out b/project/target/streams/_global/updateSbtClassifiers/_global/streams/out deleted file mode 100755 index d06cba1..0000000 --- a/project/target/streams/_global/updateSbtClassifiers/_global/streams/out +++ /dev/null @@ -1,2 +0,0 @@ -[debug] Other repositories: -[debug] Default repositories: diff --git a/project/target/streams/compile/_global/_global/compileOutputs/previous b/project/target/streams/compile/_global/_global/compileOutputs/previous old mode 100755 new mode 100644 index 3f53060..3cd4ba7 --- a/project/target/streams/compile/_global/_global/compileOutputs/previous +++ b/project/target/streams/compile/_global/_global/compileOutputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/zinc/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/project/target/streams/compile/_global/_global/discoveredMainClasses/data b/project/target/streams/compile/_global/_global/discoveredMainClasses/data old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/bloopGenerate/_global/streams/out b/project/target/streams/compile/bloopGenerate/_global/streams/out deleted file mode 100755 index 0816633..0000000 --- a/project/target/streams/compile/bloopGenerate/_global/streams/out +++ /dev/null @@ -1,4 +0,0 @@ -[debug] [micore-build] Classpath dependencies List() -[debug] [micore-build] Dependencies from configurations List() -[debug] Bloop wrote the configuration of project 'micore-build' to '/run/media/gh0s7/Data/project/ddca2024/micore/project/.bloop/micore-build.json' -[success] Generated .bloop/micore-build.json diff --git a/project/target/streams/compile/bloopPostGenerate/_global/streams/out b/project/target/streams/compile/bloopPostGenerate/_global/streams/out deleted file mode 100755 index 33f2857..0000000 --- a/project/target/streams/compile/bloopPostGenerate/_global/streams/out +++ /dev/null @@ -1 +0,0 @@ -[debug] Running postGenerate for micore-build diff --git a/project/target/streams/compile/bspReporter/_global/streams/out b/project/target/streams/compile/bspReporter/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/compile/_global/streams/out b/project/target/streams/compile/compile/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/compileIncremental/_global/streams/export b/project/target/streams/compile/compileIncremental/_global/streams/export old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/compileIncremental/_global/streams/out b/project/target/streams/compile/compileIncremental/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/copyResources/_global/streams/out b/project/target/streams/compile/copyResources/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/dependencyClasspath/_global/streams/export b/project/target/streams/compile/dependencyClasspath/_global/streams/export old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/exportedProducts/_global/streams/export b/project/target/streams/compile/exportedProducts/_global/streams/export old mode 100755 new mode 100644 index 4006493..faf6770 --- a/project/target/streams/compile/exportedProducts/_global/streams/export +++ b/project/target/streams/compile/exportedProducts/_global/streams/export @@ -1 +1 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes +/home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/compile/externalDependencyClasspath/_global/streams/export b/project/target/streams/compile/externalDependencyClasspath/_global/streams/export old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/incOptions/_global/streams/out b/project/target/streams/compile/incOptions/_global/streams/out old mode 100755 new mode 100644 index 44649e0..96aaeb5 --- a/project/target/streams/compile/incOptions/_global/streams/out +++ b/project/target/streams/compile/incOptions/_global/streams/out @@ -1,5 +1,5 @@ -[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes.bak [debug] About to delete class files: [debug] We backup class files: -[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes.bak -[debug] Removing the temporary directory used for backing up class files: /run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes.bak +[debug] Removing the temporary directory used for backing up class files: /home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes.bak diff --git a/project/target/streams/compile/internalDependencyClasspath/_global/streams/export b/project/target/streams/compile/internalDependencyClasspath/_global/streams/export old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/internalDependencyClasspath/_global/streams/out b/project/target/streams/compile/internalDependencyClasspath/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/managedClasspath/_global/streams/export b/project/target/streams/compile/managedClasspath/_global/streams/export old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/scalacOptions/_global/streams/out b/project/target/streams/compile/scalacOptions/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/unmanagedClasspath/_global/streams/export b/project/target/streams/compile/unmanagedClasspath/_global/streams/export old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/unmanagedClasspath/_global/streams/out b/project/target/streams/compile/unmanagedClasspath/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/compile/unmanagedJars/_global/streams/export b/project/target/streams/compile/unmanagedJars/_global/streams/export old mode 100755 new mode 100644 diff --git a/project/target/streams/it/bloopGenerate/_global/streams/out b/project/target/streams/it/bloopGenerate/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/target/streams/it/bloopPostGenerate/_global/streams/out b/project/target/streams/it/bloopPostGenerate/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/target/streams/runtime/dependencyClasspath/_global/streams/export b/project/target/streams/runtime/dependencyClasspath/_global/streams/export old mode 100755 new mode 100644 index f810de7..69ab39c --- a/project/target/streams/runtime/dependencyClasspath/_global/streams/export +++ b/project/target/streams/runtime/dependencyClasspath/_global/streams/export @@ -1 +1 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar +/home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/target/streams/runtime/exportedProducts/_global/streams/export b/project/target/streams/runtime/exportedProducts/_global/streams/export old mode 100755 new mode 100644 index 4006493..faf6770 --- a/project/target/streams/runtime/exportedProducts/_global/streams/export +++ b/project/target/streams/runtime/exportedProducts/_global/streams/export @@ -1 +1 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes +/home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export b/project/target/streams/runtime/externalDependencyClasspath/_global/streams/export old mode 100755 new mode 100644 diff --git a/project/target/streams/runtime/fullClasspath/_global/streams/export b/project/target/streams/runtime/fullClasspath/_global/streams/export old mode 100755 new mode 100644 index f810de7..69ab39c --- a/project/target/streams/runtime/fullClasspath/_global/streams/export +++ b/project/target/streams/runtime/fullClasspath/_global/streams/export @@ -1 +1 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar +/home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/sbt-bloop_2.12_1.0/2.0.6/sbt-bloop_2.12_1.0-2.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/ch/epfl/scala/bloop-config_2.12/2.1.0/bloop-config_2.12-2.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.12/2.30.14/jsoniter-scala-core_2.12-2.30.14.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/unroll-annotation_2.12/0.1.12/unroll-annotation_2.12-0.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-library.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-compiler.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-reflect.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/lib/scala-xml_2.12-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbt-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-library-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/io_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/logic_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/actions_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/main-settings_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/run_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/command_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/collections_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scripted-plugin_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-lm-integration_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-logging_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-xml_2.12-2.2.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/launcher-interface-1.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/caffeine-2.8.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/lm-coursier-shaded_2.12-2.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-api-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-core-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/log4j-slf4j-impl-2.17.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-core_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/librarymanagement-ivy_2.12-1.9.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-interface-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/file-tree-views-2.1.12.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jna-platform-5.13.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-relation_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/completion_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/task-system_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/tasks_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/testing_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-tracking_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-scalajson_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classpath_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-apiinfo_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/core-macros_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-cache_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-control_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/protocol_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-core_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/template-resolver-0.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-position_2.12-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-compile-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/util-interface-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-2.14.7-sbt-a1b0ffbb8f64bb820f4f84a0c07a0c0964507493.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jna-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-terminal-jansi-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/disruptor-3.4.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-reflect-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/checker-qual-3.4.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/error_prone_annotations-2.4.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-collection-compat_2.12-2.10.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/slf4j-api-1.7.36.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-compiler-2.12.18.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jsch-0.1.54.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-apache-http_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ivy-2.3.0-sbt-396a783bba347016e7fe30dacc60d355be607fe2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-reader-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-builtins-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-agent-1.9.7.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/test-interface-1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-jawn-parser_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-scalajson_2.12-1.0.0-M4.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/compiler-bridge_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-classfile_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-core_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist_2.12-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sjson-new-murmurhash_2.12-0.9.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ipcsocket-1.6.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/scala-parser-combinators_2.12-1.1.2.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zero-allocation-hashing-0.10.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jansi-2.1.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/gigahorse-core_2.12-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/shaded-apache-httpasyncclient-0.7.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/jline-style-3.19.0.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/zinc-persist-core-assembly-1.9.5.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/sbinary_2.12-0.5.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/ssl-config-core_2.12-0.6.1.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/reactive-streams-1.0.3.jar:/home/gh0s7/.sbt/boot/scala-2.12.18/org.scala-sbt/sbt/1.9.7/config-1.4.2.jar diff --git a/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export b/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export old mode 100755 new mode 100644 index 4006493..faf6770 --- a/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export +++ b/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export @@ -1 +1 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/project/target/scala-2.12/sbt-1.0/classes +/home/gh0s7/project/ddca/micore/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out b/project/target/streams/runtime/internalDependencyClasspath/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/runtime/managedClasspath/_global/streams/export b/project/target/streams/runtime/managedClasspath/_global/streams/export old mode 100755 new mode 100644 diff --git a/project/target/streams/runtime/unmanagedClasspath/_global/streams/export b/project/target/streams/runtime/unmanagedClasspath/_global/streams/export old mode 100755 new mode 100644 diff --git a/project/target/streams/runtime/unmanagedClasspath/_global/streams/out b/project/target/streams/runtime/unmanagedClasspath/_global/streams/out old mode 100755 new mode 100644 diff --git a/project/target/streams/runtime/unmanagedJars/_global/streams/export b/project/target/streams/runtime/unmanagedJars/_global/streams/export old mode 100755 new mode 100644 diff --git a/project/target/streams/test/bloopGenerate/_global/streams/out b/project/target/streams/test/bloopGenerate/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/project/target/streams/test/bloopPostGenerate/_global/streams/out b/project/target/streams/test/bloopPostGenerate/_global/streams/out deleted file mode 100755 index e69de29..0000000 diff --git a/src/main/scala/common/Instructions.scala b/src/main/scala/common/Instructions.scala index 8bd1912..7d5f10f 100755 --- a/src/main/scala/common/Instructions.scala +++ b/src/main/scala/common/Instructions.scala @@ -5,13 +5,13 @@ import chisel3.util._ object Instructions { // * 加载 / 存储 - val LW = BitPat("b100011????????????????????????????") // lw rt, offset(rs) - val SW = BitPat("b101011????????????????????????????") // sw rt, offset(rs) + val LW = BitPat("b100011??????????????????????????") // lw rt, offset(rs) + val SW = BitPat("b101011??????????????????????????") // sw rt, offset(rs) // * 算术运算 val ADD = BitPat("b000000????????????????????100000") // add rd, rs, rt val ADDI = BitPat( - "b001000????????????????????????????" + "b001000??????????????????????????" ) // addi rt, rs, immediate val SUB = BitPat("b000000????????????????????100010") // sub rd, rs, rt @@ -20,18 +20,18 @@ object Instructions { val OR = BitPat("b000000????????????????????100101") // or rd, rs, rt val XOR = BitPat("b000000????????????????????100110") // xor rd, rs, rt val ANDI = BitPat( - "b001100????????????????????????????" + "b001100??????????????????????????" ) // andi rt, rs, immediate val ORI = BitPat( - "b001101????????????????????????????" + "b001101??????????????????????????" ) // ori rt, rs, immediate // * 比较 val SLT = BitPat("b000000????????????????????101010") // slt rd, rs, rt // * 条件分支 - val BEQ = BitPat("b000100????????????????????????????") // beq rs, rt, offset - val BNE = BitPat("b000101????????????????????????????") // bne rs, rt, offset + val BEQ = BitPat("b000100??????????????????????????") // beq rs, rt, offset + val BNE = BitPat("b000101??????????????????????????") // bne rs, rt, offset // * 移位 val SLL = BitPat("b00000000000???????????????000000") // sll rd, rt, shamt @@ -39,8 +39,8 @@ object Instructions { val SRA = BitPat("b00000000000???????????????000011") // sra rd, rt, shamt // * 跳转 - val JR = BitPat("b000000??????????????????????001000") // jr rs - val JAL = BitPat("b000011????????????????????????????") // jal target + val JR = BitPat("b000000????????????????????001000") // jr rs + val JAL = BitPat("b000011??????????????????????????") // jal target // * NOP val NOP = BitPat("b00100000000000000000000000000000") // nop (addi $0, $0, 0) diff --git a/src/main/scala/micore/Core.scala b/src/main/scala/micore/Core.scala index 2bf205e..3b138d1 100755 --- a/src/main/scala/micore/Core.scala +++ b/src/main/scala/micore/Core.scala @@ -97,7 +97,17 @@ class Core extends Module { val id_rs1_addr = id_inst(25, 21) val id_rs2_addr = id_inst(20, 16) - val id_wb_addr = id_inst(15, 11) + // val id_wb_addr = id_inst(15, 11) + val id_wb_addr = MuxCase( + id_inst(20, 16), // 默认是 rt_addr + Seq( + (id_inst(31, 26) === "b000000".U) -> id_inst( + 15, + 11 + ), // R型指令,目标寄存器是 rd_addr + (id_inst === JAL) -> 31.U // JAL指令,目标寄存器是 $ra (寄存器31) + ) + ) val mem_wb_data = Wire(UInt(WORD_LEN.W)) val id_rs1_data = MuxCase( @@ -156,7 +166,6 @@ class Core extends Module { JR -> List(ALU_X, OP1_RS1, OP2_X, MEN_X, REN_X, WB_X), JAL -> List(ALU_X, OP1_PC, OP2_X, MEN_X, REN_X, WB_X), NOP -> List(ALU_X, OP1_X, OP2_X, MEN_X, REN_X, WB_X) - // LUI -> List(ALU_X, OP1_X, OP2_IMU, MEN_X, REN_X, WB_ALU), ) ) @@ -262,7 +271,10 @@ class Core extends Module { wb_reg_wb_addr := mem_reg_wb_addr // ********* Write Back (WB) Stage ********* - when(wb_reg_rf_wen === REN_S) { regfile(wb_reg_wb_addr) := wb_reg_wb_data } + // when(wb_reg_rf_wen === REN_S) { regfile(wb_reg_wb_addr) := wb_reg_wb_data } + when(wb_reg_rf_wen === REN_S && wb_reg_wb_addr =/= 0.U) { + regfile(wb_reg_wb_addr) := wb_reg_wb_data + } // ********* Debugging ********* io.exit := (id_reg_inst === UNIMP) diff --git a/src/main/scala/micore/Memory.scala b/src/main/scala/micore/Memory.scala index 9eb8386..40d003d 100755 --- a/src/main/scala/micore/Memory.scala +++ b/src/main/scala/micore/Memory.scala @@ -31,7 +31,7 @@ class Memory extends Module { val mem = Mem(4096, UInt(8.W)) // 加载存储器的初始值。 - loadMemoryFromFileInline(mem, "src/hex/addi.hex") + loadMemoryFromFileInline(mem, "src/hex/mem.hex") // 连接四个地址存储的八位数据形成一个32位的指令。 io.imem.inst := Cat( diff --git a/src/main/scala/sicore/Core.scala b/src/main/scala/sicore/Core.scala index d49de9e..d1450b8 100755 --- a/src/main/scala/sicore/Core.scala +++ b/src/main/scala/sicore/Core.scala @@ -4,6 +4,7 @@ import chisel3._ import chisel3.util._ import common.Consts._ import common.Instructions._ +import os.list class Core extends Module { val io = IO(new Bundle { @@ -12,7 +13,6 @@ class Core extends Module { val exit = Output(Bool()) }) - // 寄存器文件 val regfile = Mem(32, UInt(WORD_LEN.W)) // ********* Instruction Fetch (IF) Stage ********* @@ -66,7 +66,7 @@ class Core extends Module { SRL -> List(ALU_SRL, OP1_RS1, OP2_RS2, MEN_X, REN_S, WB_ALU), SRA -> List(ALU_SRA, OP1_RS1, OP2_RS2, MEN_X, REN_S, WB_ALU), JAL -> List(ALU_ADD, OP1_PC, OP2_IMJ, MEN_X, REN_S, WB_PC), - JR -> List(ALU_COPY1, OP1_RS1, OP2_X, MEN_X, REN_X, WB_X) // 添加JR指令 + JR -> List(ALU_COPY1, OP1_RS1, OP2_X, MEN_X, REN_X, WB_X) ) ) @@ -103,7 +103,7 @@ class Core extends Module { (exe_fun === ALU_SRL) -> (op1_data >> op2_data(4, 0)).asUInt, (exe_fun === ALU_SRA) -> (op1_data.asSInt >> op2_data(4, 0)).asUInt, (exe_fun === ALU_SLT) -> (op1_data.asSInt < op2_data.asSInt).asUInt, - (exe_fun === ALU_COPY1) -> op1_data // 添加JR指令的处理 + (exe_fun === ALU_COPY1) -> op1_data ) ) @@ -132,20 +132,34 @@ class Core extends Module { ) ) - // 写回寄存器文件 - when(rf_wen === REN_S && rd_addr =/= 0.U) { - regfile(rd_addr) := wb_data + val wb_addr = MuxCase( + rt_addr, + Seq( + (wb_sel === WB_ALU && inst(31, 26) === "b000000".U) -> rd_addr, // R型指令 + (inst === JAL) -> 31.U + ) + ) + + when(rf_wen === REN_S && wb_addr =/= 0.U) { + regfile(wb_addr) := wb_data } io.exit := (inst === UNIMP) // ********* Debugging ********* printf(p"---------------\n") - printf(p"io.pc: 0x${Hexadecimal(pc_reg)}\ninst: 0x${Hexadecimal(inst)} \n") + printf(p"io.imem.inst: 0x${Hexadecimal(io.imem.inst)}\n") + printf(p"io.pc: 0x${Hexadecimal(pc_reg)}\ninst: 0x${Binary(inst)} \n") printf(p"pc_next: 0x${Hexadecimal(pc_next)}\n") + printf(p"exe_fun: 0x${Hexadecimal(exe_fun)}\n") printf(p"rs_addr: 0x${Hexadecimal(rs_addr)}\n") + printf(p"rt_addr: 0x${Hexadecimal(rt_addr)}\n") printf(p"rd_addr: 0x${Hexadecimal(rd_addr)}\n") + printf(p"reg: 0x${Hexadecimal(regfile(rt_addr))}\n") + printf(p"rf_wen: 0x${Hexadecimal(rf_wen)}\n") + // printf(p"imm_i: 0x${Hexadecimal(imm_i)}\n") printf(p"rs_data: 0x${Hexadecimal(rs_data)}\n") + printf(p"rt_data: 0x${Hexadecimal(rt_data)}\n") printf(p"wb_data: 0x${Hexadecimal(wb_data)}\n") printf(p"---------------\n") } diff --git a/src/main/scala/sicore/Memory.scala b/src/main/scala/sicore/Memory.scala index 97d4bca..4983e71 100755 --- a/src/main/scala/sicore/Memory.scala +++ b/src/main/scala/sicore/Memory.scala @@ -5,15 +5,11 @@ import chisel3.util._ import common.Consts._ import chisel3.util.experimental.loadMemoryFromFileInline -/** 表示一个指令内存端口接口的类 - */ class ImemPortIo extends Bundle { val addr = Input(UInt(WORD_LEN.W)) val inst = Output(UInt(WORD_LEN.W)) } -/** 表示一个数据内存端口接口的类 - */ class DmemPortIo extends Bundle { val addr = Input(UInt(WORD_LEN.W)) val rdata = Output(UInt(WORD_LEN.W)) @@ -27,13 +23,10 @@ class Memory extends Module { val dmem = new DmemPortIo() }) - // 生成八位宽x4096(4KB)寄存器的存储器。 val mem = Mem(4096, UInt(8.W)) - // 加载存储器的初始值。 loadMemoryFromFileInline(mem, "src/hex/mem.hex") - // 连接四个地址存储的八位数据形成一个32位的指令。 io.imem.inst := Cat( mem(io.imem.addr + 3.U(WORD_LEN.W)), mem(io.imem.addr + 2.U(WORD_LEN.W)), @@ -41,7 +34,6 @@ class Memory extends Module { mem(io.imem.addr) ) - // 连接四个地址存储的八位数据形成一个32位的数据。 io.dmem.rdata := Cat( mem(io.dmem.addr + 3.U(WORD_LEN.W)), mem(io.dmem.addr + 2.U(WORD_LEN.W)), @@ -49,7 +41,6 @@ class Memory extends Module { mem(io.dmem.addr) ) - // 写数据到存储器。 when(io.dmem.wen) { mem(io.dmem.addr) := io.dmem.wdata(7, 0) mem(io.dmem.addr + 1.U(WORD_LEN.W)) := io.dmem.wdata(15, 8) diff --git a/target/scala-2.13/-name-_2.13-0.1.0.jar b/target/scala-2.13/-name-_2.13-0.1.0.jar old mode 100755 new mode 100644 index e15fe4c193af511fbca87e2407f50e5e73b40aab..0003785efa764c80e760c2b918ca1ca6359df208 GIT binary patch delta 52673 zcmYg%V{|1zlXfymCdtH}*tTukwr%qUH`XK*+qRudY}+@sjmgftyWjctU-#+L)u=l4 zRM%5|ISaM-`2E)tG$a@pn5C&%A~<3F8x=7?NT^PxF5+7?8Kn5oI6jIsrd5sVZcX3j=ge+fTSks17X|+AuG7q(i`0|Ulhf1mwe$!c1_wUNuPfH~4ND78 zOAjQ#=ktUkm`=Tv5`m(kVyWsBMERPX1w+o#LirjGB}~w<)rD3SH@2)iha^QD#hx~R z@&aEH#Uj8U&^+9B{H4Ny{b9n&I_4c5 zh5$PEB+i&RDIa_Keki`^gmmSePk_s=gkInCoozF8=>k9>#?=Fojk76{=es8K%e`Dvv>xT8H$>>yUH}5Q zgpt!L*tv>U$OsI4v@rA(bhoZ(Im*v5Xu0bF0|Wz7rWU=_Rlz8Kobi}G2$DLvRDwk% z2S|cxXt~aZi0`>5X>Hr&>b>w%0B0%DP!z5%zra{fM3@Yz(*xo+;i#gpWC*`p+cOBn8hl5$k(LTNv@Lobt!&}aQTuLYo|`ap?w?;>~hLWr#iL6?~fPcT2ra> zM|0@9-Va(Tf(cxUwUmkBZ!GCcyeZ%4(XX|C*cH6=$q=FEy^N|5i8=pK$xGf-l?~#EnxThkQS!6?&#Z!EAzQei zmH){4Coq$`$MsK;4&a-l;+s-%9$8f>>VWG4TIA&Rsu+v#Zk&bbZMl9ucKtO%>3;oz z7F}VZKe+zfgC0fB$;z67bW(NzQSzc{WY8jrO&FXKrhiz2>_urvz#dGkapt>$enAbg zI@%JwO??@8fsYtH#uBYfdf8#Yn^-k+v&v#}fkvbb{TYn`G+<2<{@NO4Iqy59CRpaM zhH+q4=l6}j;#K-W`iKbIC6!hNLQLVm_8-29z}xSY(T6JqiRp6N%n%_W|%ecT9gkWFdd~7P+%b>R6FVx#fLLbn{uecRp;r{pVV~TL! z$U=&1CqN}W0q7(ljuTiovItV;fSCnBzlIDxr7uwP((UL4(6sNRggo9pe83ihmzUQlq<<}*+k0A3>P1W z5@T~Ft5K7i+eC`WAWDsmh`98rHAqlXYs!`-0q~L%6@dqNvn)RD4dmLjN} z$yMqyG>fRcNpdn{SRyReG}@&}Y8B+!DlFvWhOlEvLc@&G8B6Pb2Lt;+ z|DB(W*H}aq2noRa9{^$$GdFc~cd|1#lQcDBFtsywb?w%H_0rRH|2va>G__~hT}tWL zfUY|+nlju#9@{lazJ&odyP<4_eBL;av!XBnFY*bU7tNS?yYRbtR#bFM1G-9!g`TaA|uakGb!x5!YP?$h2vT&JI$GqtBSE|>EE5+zy0}}$UhA29`iaYHn@{w#WY81uT-g;G zEORwIuP+C>xRxrr+iOGU_p%)DZq6d_U?@D)U|W9XG;Z|!a&C(K{cC^7NmEHPw1;2) zo}_DncJ6n3_vhs0akfwUw9{o>U?`Ji z6|t-a@xwh>ND~lUtup;ZZ`VV<67Y`?S+Q(Pbj~h^cHoIeTs$esg-L z{&- z*VBDICK&MfXW1X4QU_Y02KL<_SR7i;TY{9c6drq1zwO3wA^9t>O)G$osOE>n0&s+gkka;H1mqFW|at)j0Tc zdMY;l6Y1HU#gcOPql}^|!*DSkC)jKZ%?~RvauX)V2e!w|$Bsl&YmIY4nlk8tAPQyu z*v#R2zo^NRX>P)zgYqZ6hkXo@IfY9JC@$0;DZM~^JSe*R)Qn*arPc|s=zWvUd3tj~ z5<~%e2!Xc$d#HeKkGa2~idi6Gd~HsT@7HAPnJLJOCmpU8tt_+d{Q!Lr2D&pmJ$4Ybo%Z9Y( z^>w2+_IBNwqJryIw;vi`XZ;6NLQC4(A_X z8rOYFG*Q_q_@W%e0?wmfCBjKJ)vt8Wd~ zvLxOLIV-AlHs@J++$nl8Owb?I?)Pj;n13(BbDUwxf>SM^4^RgndO|q`=3#U)(q=d`u=mYF7?!capPLH*t`Mi!#v;GlqDLAeHPTqB_P_gC8CSp5-U|M;dhH0 zAxvrnu~Di_Z9_E8?M=ZXl!aE42nSen=uO8eSz4$A8-c?Mg-2^vsmx|H7W3V(q}j5F zB)oO^Do0L7MSr-;L9;AT zjtJ%$G@FZ%H8Gx4Joc)PwS>rrxq~v4C(~dj`5ivgl5#lVtP%0xv#TJ&R@8$%Ih4K$ zv#NfZxFdXEa-+PbB?HcWi?!et$tXm6v?OcyX%@4c87yET!#8@sY{8VpAgEK+i`zh2 zi_Px9qQ9fW%bXC0%M|{|gdiy8)~1UB$lR5p@0Pu8W%+NhwlM42Np{4j0yMXU1*he($aXqWCk*SnP04jv33KWd1Dx z>y_#AH6@_Tm_J(@Nxo>{Xd7o+YIRmGqwZLKxu-7qB-M8U`00h4?}G@poaDt75q<+B zOe=pG&=sPzZFfz74G&W0lejrGH7%DGDO#)Vl$|-f=cd_r?&1Z8-{j0Yc%m)_@8zhu z*iE{|u{AqK$si6z`9W7M;N3H*(RK_EZQ74UZ> z$|q!UdHW@hHep8j`2v|QqIei(1=Pe+obguBuAgVlmAySVNJF{LN+`uVh9>F1fPIG811 zh_q+>A_3;k!l29lT0#P1WQVyL!;HTVmF$ukjxl}8M(zpgB~jza(Y4gg0jU#6!U_JR z03LgZCtHb``>nWKIby&H&MFO0Cr7wL&H||R-%}3aF<=3;`B~(Zcw_yr>DgM_$KDAn z19$Plz#GNQSW#l&%}fqQfjI6JiYeE{2xCUnU!Y2L{?atOobhC>jyqK+k~1iEWJ9JP6;ua zu9Zv6l5~rXJ@q0L>XMDtfyN0;o_I-eic&X;a>)1eP;|+NYkod2g&ckpcvsDV03FpV zOE@zEF&o5r9UE#D8aW)nYFZ7NUjX^yM`4>{1YpWhrp5A;-^z6weDb>Jq6|I@hY=BE zC=cvP`%_Bg3|ag_337Gu6uI*W3=xScnkejgi4?jjbDlACxLrD_jvM*Gs5dLmH>>1R zo(Z*966N@?A1$h&h|*ZNtPY%`t<`MTI%_LJu&7Psn0NOu$r(hvBc9 zQV5`uiyK`sp4^d_uE7bB`lyy$gagdnqnp>?N*c)(#ZO?A*wKTS&Wu71BGSpJv54fo zdQ8-kIFH1L=+At#D`fH4U5BT?6r7d69sR`zGNq4w9;#5{P-tW$0(@Ze$3@cy9_NX& zDYonZg_(8fwGu}*EH5Rd0RUVIIvF#C@bJd4oc(+IIY{;ZI4#&%adoH z(B<3F=~|PNI3^z2iUCgq;swt(vwVvkZAZoog-A&^EiSMF2mYf|(JiS;JTN_UngZr; zKTD$x-rD$oyq)~~8e=mbxu45b#EF`~uKsW3~R2{?#L9m~twx zKJfx5k$S*Dkma5hDt)mhmVZF)O**!gl_O%BkJ3~ zXD8dxj+nj4zNLhjKiK!n6P&+(Iqfnce!pG@U=%RU8BRPnR5AkoZ7D14Fh=h%f4{Vs z9Fnyih18dr8{jbdAlkt$CYaYtDs}w02%IBch_5RDG)>Q`J33KBkNhh<@B=Z293%NO zm##c_?4gMKkuge4a78KB()=xg{p_nk>VX!4_BL3Ku=)Gm4n|}^VSZR~PQ71%aKeco z`(ndPBkK}HI%>)~;Xh%z1MF2C4i&Iei9`sMCmSqV0ON#l4CxoPDvSB8k^&g2L>QYg z)1jFKtTZy(M6Jn3qwBH?kvS#94uZnWcA1HE5j7OQ<5w!u=;9+E)CrlQR@4ms(qEF) zX>~4FsYtzA(q)&Kx`D@?We8C?&Ill-`_05Fl>rRCxH zDH9~)OFZI-Ho1RUqy7R-%_XSD$x3VUX( zZmxW(Jqd)V;&OowY0QjfI!6sM=jbp@KH6vo+uI(s1YixZ$WBUqWDkKzyVU4Ql(#Gv zKs1UhjJ;~0ktL^9$`V~VYiV}8XeZM*Uy3$y)JkjSb=WbXA&P96-OflQS)8Xa+ zS8P{Di!x6!DY}&t1~b(<#0MzuadZHFEjQ87B75{*>WDgTj_PE$90-}(U(XuDK4J-j z8|-!e6b-y%Z zw}5hCs_QW_cmZ{?h2cMubKs=DNwy1q-ZSuL<@-JyWA>SCZGxppIsAF?YxYZbH{bay z<8io2c@=*7E?ARp{GJ~fiFFnkU0;1B)9!kUz&P9=yFCyZk0@P8&zg=-jL+W+McznH z&r5Se>poRuvG1+;{zH&Wm>&`_4i3z_g@6Rxem)c4D+GhY>Ekvl!4Dgbw<+1wd2d|% zYgaEws%06_l99XhVFYW$31e>s9T^XP5{v|yx2G+pXoI_yT=9kN=AXq3`Nf#NnY>30 z)<7Ovy*iw1zO6(oM@y+}afd^qxW9hKdqwLDpFg^=`U;6D7=877y~Ywy_v}iU*3U69 zhz=$QTt1g{M3Iw6kqdz`_4MS8P<%6R5`eC$1@o)}Tj6BXyUcQW7xwdOHD!aFzV5?k z=zGN4MNI9(rw!Is{{g+18HnKe8)0FT$)@iQw{jo1b{{u0T+#l;42uXJ* z1q>$#>fNjitkP+;QgcK=77z5X8@MFnZdMLf={6c=Ft}n?Hljj7AgfxRbkc4NI*83{ zuZf4N)Gmu@Uu};8`a}+#N*#=c70xOWuB;x0>H;j5#2(^t!0}F}QN$noGR1JY;_;W|E4EpKZ%LczY! z12|#<#<*%(a7#7;OLd`~{f<9Y6hJH9AEgU_)X#o2hJ3kWk95&}Y}e0z3%G!!X-5K# zHU_RirDnu0z3?B5cj;AY+=oP@rjl_R)Z~oFw(NS+i2>{wx#!ijmYAK<_o#55 zFWLkc-@2)~ZdPTE$hRo5hiA&(HJLDQYS+HWY*lGizK#tqOsU6ZKHj&DVQS2PEcA2w zUE9Zevg+*R*X!~6X+SJ*E2{*S42e;DS zB?Za7xF$4jmH@S~b-*mv6akY!Pg zCqyD(M-&LZJ#jl`gpn+;v7qHd&|rm99)6FUUE2ga!Q82@s&5l4|)Mrd##{^dNL zZ1)pBu{3)6PN(#{d`v1od%SxsLP#z?Uk6h^46DADAOLHbaWRyauagONY=Jh5p(?i$ z?i}l^e`{K4Cq9qbqI0J5W@*7~Mox0w0^y~5S;#w59V;4xH3gk{`vOr+H=&Z$pl18H z%?B_T=W(xB(=rqF+U-#GL{etKSoWSBL~kHP>cEQ+M_&Y;RnKb`ug}FIkEF0Lkb1kx z)=ipN>d76lY7AXth8%6&Z@?7q+rfL_(dtU1Bt7b!|)CxDO3r-tV z#WzhG_4eX%?B3iSV+-{xjw2>E?7a&5?fo9j8M$;9IVVpMo_BExrIy*wacj1x3!ANc z`W`YeP?uV<3T(vVg2N-RtHB$yp{Pi73}RKdgyG^Z_%@aD{(x;Y)M&Y4neeR=j-*Ps#e8+@HJ0YscxXb8PPIdM9Q513;ph{K;l zY*{$9wk+IGm=W>d&`%fa#B6AM9sgMC{*_Iy`CIO}Z0SSK1mY`@RuqgN3zfIZI0|CH zWW}6sedK+uqigkA?(nKVA)NEb-P?H<^nmUx(8jgBwI!al-PJZ` zd{K|YFD^Z;!|be<{{=c${oUs%U>PxZ1~b`771nU41+CSd?T|3PICNf5a=GdHQz zII2=KzXJq9Ur;H7N9q5jgPBVaS%z*03g zH(h^AX^I7tp9!W$NiyB z|Li~;#%Hjm_>MC`G`}BR8%iA^(lh#bHM)7+;Nh>7fghxiBA7Iv{S|@$kjRudScynf z9Qk8oo(8fWeWL!GaFrN+jc{|DSr5j`AX}|3Yd-47K1>9_GO)qW7*_xamT-9F#+fga z+HcbVFt_wKrSel$^PRHh$?-eKV}+K%>BDMM$kQ#0VQ;axX% zYX@V^$C(457=bNEJT)3QYnNFdQnra%u@x7g(YR&P>e;dGYxz!v*tQ<7e`#9tB#re~ zRxbbv{gsEs*B~;flK-e7+qIdoW1Z2p`P$|6PDb;+x#p?6<|%W?-@@*yaRaIS<=ScJ zNk6p33srYWzezu4SNDZPfWknQ5sO$^*s$1f-t+;$??d>R6hM4cY`EZf>X}qJ6X_Y5 z8UbFfDmIuoWZ*1>hdDARef!G`x|<48A30G9MER2cFMEuNWzDS~#r%QNi^5na(IIhQ zh4MN`3C<%W#EAtP2^Tk5i!g|UGl)b!sK_+f%(Z9p!Z{WX2AT4rI*#OVwz4`=MK62W-oGSa_zJQT`T^ zwibbjwo8$b;>r{+k`64{0!u`OS3foLME!1I+0xk9q-I~a(IRIrBsZ9i9oN! zh~8}QSmC);p;=-GKT;Ql&Tv<9oB5`s}AQ_a?W zdm}|zYMPWvg&|p_d_L2j(pp=~WK|8LC!~9iS)PjY8i@c-+)g6% z)MY81Uo15O!^FfjhG13N7f3qD_0D)Y&rJBVar-jT>+f-s>%dZ(Nj3Fi65PR)c>4i# z5nd@k%#{R7!8oe00w7Ocvv^xT{K= zBO;VVWKA$(9nRK?JoddI%lTwb7-8~~`7d}pW@wD9n|LPA(4OrRi7$j{0xfL0+sf*wI@o!HmW;LhSVQZLGhq?MMbSFbmiPTA z(e+1XSVR}%i(&9!!zr_v5TN?pnp(PsBzqPR*O>`uyeVeYWArz|3nf2D^RL%*Oq)IH zynGuOXzlK`auZdI-+_EInFK&$Afr^l);>QG+=jqNNf(DGhxPlpDneH2CJNbMfEKnX zdR9pTARei?&rZy?i`d_91=Uz_x*f3+suaKC3mmr)Q}*ebJXlrpB^6efeK9v3fD-7Q^{Wo>V!+AnP5R-t)Bf}OQRONIB8J;`ii>WaaOXiJbCDRMW0f4T<17umHh`B z$sS#14@T)`t0MF*m;foL3HS22|C>N<-gik6ufVP4t{;#+sZ3Um5~;v@2QWiKxRp#0S$qB7hY3xy?ur7Vf3|8@MEy z-+b)*u`zhB5>Z1TYt3S9|F?i@qQ1DE1DQ__x?Rs7!J89OG7~l}owRMqIOR7DO2ne# z+hhvdefNr3Wk)RUrU#0x1gmxZ>%a{UrK=;kZ`f`3v#9O;GiFKU18%}QNhFA7e~ zI1||igd!CTC>OXcKwFG*7KX>(4p5qcZwwNJp>$K-$dHY<_5g`VYe_HtRJPBa3mw?~ zC16~kFue@Za1QcV(pbnST+*5H#a0V(b27)z>#Fi!^Hfm7V0SA9YLH6l4xTpTRv? zkL%FBw0Se?X3P$7(X7EpJ_U^*v@Wcf3OO*(SjZe+)Y${3ty-LS;X~*~cdU&as*GgX;{cotA6dFQ;;LYp{CwypfKB6u0<8 z8U4@c>4dpM<7iqq`%@3gqLg*O##rhPyNvFP#?J*i{~x_{b(~p3NS4tH7q`?O7COG9 zmJ>nNf8AAIKAU`cCsMh;wpBFCz+w4XG$Ojd`hV5qA^Ze>gNSW|kmu5&it%;;6oqz} z8``-c*X!P*VU9K6^%LHRfdp{jiW!GXZWsf%NQsaeQ9=lXCxalL4A=00C}I#tftSoz zq-=Sx_fD`Gk;Ss~_i?L%_kR=vz*N&hkc9d+oG7pQd2bmu)$`)jXG@(3ORs5Xk7-_z zG;RoMb_G?01$BtOw4lCjQNOO$U_i=Q{ia;uBez5Z!`WVtBT`1BkuV5VDG};~^nkXhQN50CpTxs645td6okw}lO+Yq!W#5~I^)evezX)hy2-Q&cZ?5q-m#%aG* z??(4sg4KkLB+VdkJMkfLd;ZDLjoxJW8)lWqp({X5a|L^>#g10(b@na9&+TZ-x7Rn< z^P2T-r8oBz%`3JS);}4b!tH79upL1nve)80Gr3lxI!0f;r9mzz6F+OnnBJ0}S-w>8 z@Z(eS=xFA<%&@2@*@%$j%+)(jr zW~gi!GOZo+Bpk$94-LEDgnLxa>1(ha8Y(!JX^94FjQT?5VkijU3CBAZ)nmz>+{Lu{ zy$Nq3*L6bXCnMVeZF@_#%(`dI`pp!(?%@xOtz7%6T3SX%^v*xojzJ8ZSzveL%V8@{ zew7t2jaUBaJ*Qd$e?|U^7@Y7LHn69{i73)^(}_((8}7&4xWphAIvbeeDhq{3qxMh95XuzuE_Y}OasbgfBRm3!)5$8!b20k=(pNGZs2G++byyi^ z=OQ~QYX7v2-(t?z^p+3*oAGP&Sr0~2egXxtlxKEX+4s>EAj-8yP)f=%jeFo;bpMCh zFq&0LB=@v+j|_Xh5*xH@KwOJ+Rx|6mlZHB7G_U{T(ZX|-k$Q=10B=#O7G|GUO!IvF zIl0y5;%buO-svo(MzsW@OO%$wUZuQdelZ|b^(%z0d0%+IP0z9$^fS(9CM^RKv1ne` z|2I}awRsXJz_e?qjA>nEo4i!dm41Yirnn(L(@v&UrK8TX<_K*w!Zn+GOMW5*Wm}*eCLs)z)$fflYOtf;tP}di4Dc^^Z7$GyrbRXB7=Ub zi_|q|8moP$)hi9*F?7}Ni+~_rjM165Qso+M|4j=Qz>|kwA@UA6&tpqSUPGCtKf!LT z;@ovAqb2)MuJl5q8oGqzdH^+Xt>ap|*-pEfokn{?+w;Ax@fgP{AxN2+ot@i?yl{fa;d?f+rlz#vt_s1 z!;D`F_#+n6xrv78KKMiSozgxWd)~SVeVKmd{&F*Bzb!y1rl2D;-Zd8i|6Z7hrzB)X z(-hdO5FXo5K|8}@e;#B*GmdOlf z?4X5zYT#}AWYqbD>W#T|4PgHX5+%02mL~;FkN7bGZ*22>t<>=r9KB>3lZ0UhZHu6mhH@Kqu~pLGeF&y9AFo#ie}76>A0P z$i=$?cfJuLG7AZYdt-w<`?&j0dVkNrR`Y>IN#pEYE~qIvxSz6AX*2PB`(I4wb5E^@ zD1{)w=gG#H=3IV@25)Uos=6SBNL|kEK-^LUYJN*8Fl2&(9(F_~DVj@1^{hkC@C{K{mQ5&DPu%JBAh8eAJk!!)W=6P1P&NftnhUnaVzqrn}$gi~L8pl0F zGfKky!dA~00!o~=7^X30mKfGQ)+|}ZiDMcB*!^N$Q+`Azg=DYHqChV3m|P}wbdHU2 zmLdS%#d$mBN0V!$$D)|Mz$@t{`Q0BGhG#a<3MEp&6I7cq z008?B)GXzLIr97~x@S;oKTu<4ZfERmZYJ$u?M7zeXy*Mt(Bu9~UlC0iIV^WA zU`{1Z4Kj-Gr9J}_l{V5~DUAxox*<}l+Iy>V?)*SD;Y-+mGNPIkkEXRfqeL< zgTnM=*Znl>{rPk2bsy;!TqR||?DodCGX#ElBw`1EqRBxJk%C-Ii}003<AY~Nxu=_F_I$epX<978-tjr5*7(YKRY`}_yj$L;<-KTOrfraui z^^^5-Lm`=@m<18T_^6; zK3+OtHl+;iwJ_u=fA)p}#l3>gxp@*JCA5nlL9#!?bIqJb{bKj3=3Ko@KU)sPv5&^9Sl2f&Fs0u` z+1LPt|8E2TuhbUKOHV!f>+{InvV=2Ta=k?QO@_t(x3$b@VX?iAQDRDBVoTF$$h=5* zdb_j(Z~O1*LVF=_Wo0o)F}N}eKca#HXKg^J!`ELZ+T3$|X=6j(jUp)Lb4%0qv=r$|Z4@D4I39_}|IHs`Dr+ zk4QKiT@2p$)$O*5xj)1{m!0K0`$UnMK zLdLXawdU!~C%)DcpsH_5_{`ND(bFo5uh(s1X1(Pd&aej9#Ecmw@V#G;jjg&{=g+A` z(wuScSdz=5nH?N@5=ZOwyVt~@KjN% zU|pp~sBcFxytZR)4XgZ)q5Ldf5ASBR_9#eb@VY_&-0o89;_BzQE*{LoyM3bnqtL|s z+f^W|s$eA`bqb5Cl22i$3%dnAQk$C&`>d)%nQbFED?weT+pJ#Ou0j# zL^J*L@$oeglC6{`XUNaENu5R&n2-`B7FRsUM}!48nF~1lHBX@Vkl-ONn8cOW;;6Dv z7`ZwWMG#$CJ}X4xOvK8NAC@M_Uk=m;P83nv=>!9AkPhmeEFk5P{*a2f2k6*I%PAnM zi|Y8uVIx_0q#$O?)}=bdP-iGiI;^oe$vhkJl%JCFSM$P#C*nz?Tx|}Mv7yYD(4Tw2 zS0SNn2W^VP#~@>R&&CX~U>fCPO<57!(2SB>3@)~@zmrJ5sK=cOZF_BIHN4qB!!qvtg28DJqsoZP-X9LafQt zDK6-%an0QdWSQL2gi+WhU6IlW@dq1Hg8d(clGQ3on~f;2tmNJZ`UA)oi9Ix=n#`?w zapa$0>Z7!@x_czPKsBO{U6i&{k;8=1Skr{rM6?~dSAI;>B$q4O6ZtNUd8JfL zatX2EbTU;@ib4@uSuh(5YJ3*eRY4NKXk3(d?}1t)y7$DpxoQ~G!irSXh-#@B*SHWX z#%!sX(^!dW$qAA+o8M1=2s zQ}Oxc6l~>SJp~=`INFx6IIPBBJ-7jVFUCI@Y%TSjO+VR*o|!W_rX~7d*1-T!ig7&k zVAxm*lqweqDzsuPy|MF%&&iLDsT=RuGQ8x#7;z%fTV7BCp*!N635V!(V zrif>Qtw<>Ma*(`k`HHH0>*_WaB=WH%%ap$(i-1UI^5W5O;X`Ly&u&4smrZv?2s+OdM z8?n9-NB*V~e>xTLIZn`g0CGzU>vbg*jPex2Xw=G~=$6@j^Y-K5v&qczgw~Aa>c5F$ zb4f)YEGgJbE{^%zu%1!=s+gl*QC@_d0?LaZ>s<1H&@it~D%d+Eh?0o_Y4c#MEtMdq zRGEUvHYa!b{8n32)AS}5bj=NfVc|!k_?X%~VU!aB)S3c3B}IG*&j~kFNY~JT#9Ma0 zi=l2V1vTvEO(zK?>qsRm=2mjYfocfy$D9*7k&@yGD6_C2d2f_KQ}R2W$S@Ia^S@5t z;=POqR0XgUP}%7ZX+B>79r%H&A0%^XDRt0E_4#QH((<$eGHlsW3Q}HiWg2^@!Q6Xc zUxjZ4d<x>V9xLPkWhO+sFN$GI5D^uFo6a0*^A*|*GhfBGVObTRaFUko|kNYt+* za-Nxggiv4f`Y`}LJdqK*45ZkIIW)K~l5QzOf^OPJiL#2}@f{|UZ%d>P?%JuKK&WC% z-X!|`bPgRg1)3D7&ZJMc9}N+^!>u+2HJ3@0=T;$*R;p@U~Y)to^ z=_`uG|8%vP`(TafGg>;gq=GLivBVwu24R-Yp=G zeVk+NW#TK(*+$Hpa|pmqu0{UTdQi;05jIVx^N%dQ=Xk^)o}{!czUoeEC)+ zIkTc?!X~d9kqErzVeM*GcC<@vU2X-sN8A)ZSAPZ%NDwA+l(h!3>;&9uz^4*P1&rKA z@mDA8YjS}72`DDUoUvD(@7kJ zgGt5qid4s_a-7k|F#~oR#5Bx^l$fbhP(j04x5iVTg^Sl*CbZ{ZdAA4S{t$^`qL2K9 z6#vbCgBd4rupWXm!&e>Kzfey5sCbccNW3?TS~wNFgq*3qKpYgQcl0g!$PEh%jst0ucmNMXxU3g zkq0meWnzAArH{ftg!4__)WvAVppU@jMr%Sbi)N|CCQ4Is(3+lcuxCh@G>O{yi5zv_ z$TG5aNeo|4mic7Q40%Em@4KfXx}J3lVC`UuCBv+#bN>vNROctVg&^6(oWkZ3I040W zNtdLu0)d(S3;}Iz1)K$$xvk%oW3fK@r~s}BRLgcBWivzZC=ItYC^*qq@WsQC(|5sS zD`!8>_1Ais2@UCT2+}=oriuKzqT9Me(BD{PQuGTewo_*p&QM6d?$HdkM-|8II9qS1 zbE7?>L0B2KG&fQoF;?meg*JC}DJtdxakAYXbl1si_5`c`0$9DsVnSM<<|VU?uK>Ld z7i8gWa#Hh-Sxq=S9W`ZC!o>14g**1#Xe4Om5UlPjv&E)0od0ej8fH*7A28vUGXu#Sy+e%WADKoYB1cj&=t(0j58S)l!vb-- z8)Wz*qJ4V*jVA+oX7l8z70T$uqNx9i1AL5rvpC$o4T}Cbnq2*r(PVKDps)E`f&?|* z#$Z8@aeh!|i{n1c(`GnCLu>`jnzW=82Hrt5a|b3ai6e9b_qzrW-SPg&6K5 zRcV2Gvf(0nYj2^hg8rTo254;aQr&QMYT@UKln3pm6l=p<)bmEua?m5Rn&)5G_#y{p z??5v_e2@mCE=&D9L;`DrkGO|#gEJ0?i)IE=!C=FogxKs_56Hmhe(RnGtIlRGfpCOg z_#lzH_$l`E+lF0&lbvu(DhLPMJ4069D?jI9H;J0oeLo{7K^f~&Hb)v7q=!qJ*{b)aSs9(B>a3*&88f?$DH#IM zH4dnTX@e9aXfJyXzBdygl()%r6pf<_o^b9ZLV#?q%!Z4~iT+D(_&-}9G_Ni-A<(PO zO&Rj9#%RgUfPdq#^=8%KX1~~h1FBxIb&~_fwE{u74KIHH(sfNGH*))=ms>lBBl^rReq!TiRXd!Qp9yzRfZddJ|vnl4&66JuiAwr$(C zZDVpKo_J#0w(W^++r}iB;O2S1@BX=ePMtn|x@vbGyY;hPF;DI?3jJ6u#maLWQSg@E`OU5$EZRr6%JM7cV4AmZ@@M|7kSkHKB_x#9KCf#J!*7?`bjptJ?xq^gJK}G>k$CCe-!#iZIXdZh z@qS?L(o+St0IZ0P%WgLe;~5GIELn%ikJpnKQ+}lLmTeO(<(>zc7zY{(*hyZW5Jv@D z{iZlecQWlsZ?lbGWhJU74D6r9pxje3E}?gj?UQ$P7_4GZD-9bthbz>Iwol6#UTa=u zSYh(-G&Cx{C=$T;jj)B}>;FOXQ|M;t63DZ%Ku`A_y6wWhAVQ>u%5l^>>c_O`q;5 z<}u-mYYtM5U(V%=^&vE#jl^jW%)BC2`79I2pM#AyIwy?$+_bZuhixRYNUuKvAH(@< z8w9QD0ReE_>|QvpaM~NlEVtvye|TJ5gRl<>=5pzwY&t&p2e_he8zy|}3(CCZc=iQ|>+ zqvJLAX?~6ze}P{9jgj+PsLo;Blj3MW$Uy+cD7%!E zT1Go!Ej7P1@0Sc3aY#N(ZmBR83Y|DjiBu?>a01D-l9*?NOl-bUAr&*V3{AvXRDLq6 zXy_~m_BDh=kb~Qx1f0!-pTxF_2f83KntkAW=>M1CgPaHfGm8uYB18K>auDnP5<{k6 zq@a_#n~1xEnVtFn&k<=@e?_Fea(v>3_pyh+s$sFNn|}*q5ch|MiYH2Nq*DE%Yi4#P z%F~={;`jRUTS-w%Cg;c-oUu1@MV@>K|t@$^I4`u zQcUM$@x0qnkH|@2O?VN)gfXV^9a9_)+DvIRr|Nom#S>XB>l=`qImAm+s<4r(PD|PF z2_>kigk{2lANZ@7H`$Wac63EbqviEIePjQPqc|GR1B|NRL`&iG2V9s@j`k_D7mbaP z=j&f4?DqBwZLbF5k2q9Mc^Guh0Ig08)iGi!b7QMcg3Q|a-DcjoI?DQKQu z@Nb}(2gY7Y*Ee~%HppvKjcCyG1-Rwor0J#l>YQYF()9JbihV!X#3dIp%4UByI!fWU zx#jL_aWgS>mmKQ2P)p*-cJUZ!ZEmiP`n|tL$efhB=$o~vH%}C#%qQcj=c24NhofDP z{K?K_YTa}gt%I{3&uXc`^7a%LrpoMcLz^sW?gqbmYF@m-$sM$z zO|CrIw3X&&F|{_72O@|h)F|fag3F+$FQsZ9?FTQ(vGXB2)YGI9HJZV<^hPKTuxy+V zgUX|T*3~t}Y@TQk8~;Xf(~LsNV^uZGkrtuicq;V7|8as@?CX9Z9Q%wz{{6Ygdlf|r z5@3y9_V!=fvG|DEUx^8P4}M1N-z6vJXVx=3p$v`XG>w{ZQz^|MaT{WZJxz1PAJ9>V zp+DjJX~{O|^gDN1qLe7FU^&(<(`lzC6kl*5YT&D&fP23A`OPP;1Wr5wsXEUn`Phy! zhYZ}*S}?Q#hYXMOWO)6nHTg8FzQM18v!ezqTRb(6HXiw2z?Ru%nIh zXd9>}Sa;C%Fkvcr0AF(EGEs!_DbU<4q&|YoUz|Hl&``j{?JMswt~9P!TyX z4X%XIa!L$lc4A&Wo<}8B?F*ei|45ouYt}31eV=91Z9a+yjiuod#rkuRyW7T70hr)0 zB0a3B(suw;jM>bO*_SG%bm3brm)GG#t}7`%<56%g=!oOJ)6*q;GibJY0o~v!t)PR@ z8*cpX+QO5VYw0&bNF?7t>Ys^43a?cD)QWISTeOZRr=Ik=Ll_b_vdmYll5SMn$Kh9J zAHJIdU>D~zexv&GpOT3%1Tfv<7J)hIAro;M$_I1@D(?nZ}n z3Y+O0tNxLV{!BNWloek$42U!0+dGjiv{|nxT<`MYZ1sA&cOIdBzBg065q-bw&G$Cg ziviT1^(0#Y)Tg*K7svkDME63AD#q{`K0cU2LkLooYOj09Ru4o3|NTG=x7~T7)_y}0 zT)aVjtE_?%q-@MskImapc_#V}(?bGYa=}O0X#PDihY_=WBMlQ(29Sg19hrbQ!ZOic zwM%o=c5n|xr?quIXP1S3K{hZ$pmj>&B@fJQrn{0$t5n+~59F{+$->Lw2)3TCoW2WG zW~rx8)K0!+QHCZLv8tD;yKWG(y1&Y4G{?bE)RpDPYkSQch9(3529As|O$K6oJ9qox z+x01Z3kjMVFlYz13y^}qAW1zqzA=P;fC%+Fg0OjKOg__tY-;v0Nr=Tp>Xw;w{`yH7 zIe1Ni<9DyHBWiWqvGNyLhk&FRD`tD7;j@**LjV$~_Zo!icfU16n2pf_PU;^FIY(10 zZ#av5Sl2>W);iLtC8Q%%uWHbHJqQT^+FE(WnQ%mKD**Y&I4}Z3Mk^3X>n0=IN4{t! ztGh;dD=n4H5p*^h1^9Ey|&>-a{PiOnE=zK zM72OFG55Kn0j$r`OLZ#KI&6z0q5Q<$|M8azttWPRkn_gFi{k@i8Ic;j~GV7#Lp2>HdIdlZif4|TXhEh(b%R^gn^L~dC z?gq&$@zQ8(?jhdT3gKzsJ;Z|eApeBKr&pSVyp>Txme8VXp9vE5cK-9s`ct1M7N;oK zVp@a5R+d(|T&(Jp=`qI2#$SwuFmED5DC}w`bOaD-W zc6n-9goaWYG>O|`4Xt@`jQF{)M<5V*_$VW79y0ncIO}4dVcu`F^oq>BQa^TQ!8W4F zZ7!ZL8PSIVZfw12W}eSnf)q)aU`+`Rp1|rMQ)IsxXx9Q4bbzAAFYH@WV>u}?z;=KO zy^;Xb6&l?anXQQm>;5hMLA@v%XgVnFAe>3LwlC}6!N&{>8E8EQZputK`MYDJrXP5lWPYt4CxrP&tPp_0b3 zCM`L#K);c%I`SsCrFxFgG%A&-(^ldlY$S4f{o{>SYj``ELT$|fT<{e65ZzeO?|f>D z6RZFgaNjQoXiWIjVKg8dIM7+02*VGoV$f$2c?}fA21;@L{N{igKNAV^`3P>DyViA& z6Q*LO?j3+WMJ-34$^Ly437G=VTa2r5qG(@qvVlnejdv>my3afPJcsxpxPjMtEG;y@ zicA8Nj4!-o18Yb*Z~-g-mnq6?dESs9##J~1UdoIiJO4|aoTA5$YH+o!xB4=$vf&eM z9zmY8<0!RFH-0WbEbJ7_x_G15VLVU*GuI@eJ*!c?I2MtwP2Mhd(mAZAVV164-Hrnt zyTnCOQ;|uErXOh`XYof?qsZm*pu{$xo51VM%~b%`gMnew$eY!f!kI!C%fY1#EfPK9 zUlc=aXBjRrGAg+9+1{Yvlie=>X-r^?jCdE1LZ2(r68fF}sKToFYt}~@RaUMtaUo)U zF-*Vh3yj;w+MNhg!OLzFNfI*^~h@(C8wa{vws9%5&j`!UXXxK;(n+3 zri7$OSqSflw7dEVNjLq$SZMuv8;%QP2X+OejB)zeWMcy612Z7aikny-(pp>HHDVM6 z#Xl;i^%lqf%z4i;_(t;4vT1QzovmUvR-64Tgs}HK*rCnj4D)~_!~7*eS;K)vz@S7& zk3-%;M`5Mr#+K7zmlMUH+~T9<%aUWLU3VBp&6bZH=e=0}6}mU2fTT3#F8%Ts#LNDg zEMCoJ8ns28T>uq9U@TsJF&rNn8K7uQcH5-O2-PF$d;+K};96qKE;^noaDhHw0?H4G zo3f5r;@uye`(gOF;F3CwOo5aYRu>{gt%FhAQ>K{`51o|^e?t40nm+%#2i&Zm`2Cy}|BU`|@ouH^{#Zum!Px>$~~sm^3JOq8}vb6_hN-b>f}6ImSLDa}9pAakl- zu*%gZk9NolAnn(iJy57u=q}k?=~fRQS#Vo--T;vK$S0^&gNJD`j<}B4kd6ES9m5Da zlUW_OrB@d-)alztQN7Kv7`X%YX3U# zoocdPm)W!7$bG*PzJ;N z5+QIup#DP{>ucsE6wn|bzmfk#84YCgc~IXJ8;04;eE_qNAn8*pB4YA2%Ax{}SN6WL z1BR_qHe!Vl)(K7BA5{aWb!s%Ih`;JHC#WdZbzC=g3$e`alKuq<^%QM$pGd#*8IT$yPizXj~&ssRr5f}wpO>v|Jarvn0hc8Nfw~axh?Tk^k)MXJKpkWpo!2*P$ReKWgXNLNekRB@t0z8(Px-f{X(N`uo zmjnFIeWsu!&ZS8b=Hj9f!H|>>r)ab~=vOs*bSJ zT^$fQ(04=!0s#VEEugZ!pZ*5|wLqrSu3MZ_sqPo-27e+uJt5@@I2=<#Tzc0QeUy;(*c zjXefD17-%qVrKpt9la?}nG={kfkyM(;46BGO5IYtWwM5?)-?xkr;Xk%VWVd~^y-pf8Y5K(1WXMO-}Ol2EpMRLjflsq;&|6XzSMH?r*&vl8;{_Dy5 zP*10yDcVCH>mO}v{p~dc6JZ4w(jzDT@@rjwq&8o(9D>+6sDkLN6@zItZe1{Aiq=km z<(V@;(~~1fcmipzW6QdH7~<~+u|+~Xi>CBTj@gnujtuYJEU)zK{#ygIui}V?x!Xr| z*pKIPIyTQqljJ{y7Sqq31!uJSqc|$kIVZk`tax$)Q^Y zBof%Zu~tj+57owZ-SkU9S%GTk1Vi%tN~%RFh@1zvbHm4>3P_TpXR8T!o=C<2ndvudBV^@7rug^j>+V{^-Z1 zcrIu9>+3lAd)m9w{{uYvZ9VOSU@p00IP{`HkaRLK!2} zsX?moh|5A8CJGCehykB0U!7sJzAWc_ReO>^KZ(O;CUVL+L?`}zsBYFnv?ZPaoYoXa zrcGr8XB}ZS2>(iu0bdA{ewjB0T$+3B+%fueeL+ljuGbRs%1NZmpF|}^Llu!?Fo5Hg z1uo4C1O5S>+-wUL_lG)6+H!tCcX6m(b@L#t@C7FEnRv1QG%g*;$DW|{_QUjy=gMS; zKZx0BxGL8zh{HYYW0L0f4TyvB{+Rt=%eCiC#2p6njr^$TnVMAh#W_cd6HV<`(c}-U zof9DYKJcIBC*8X!XQag?iN9e0DezelNcy=fI3A(k!=hQ>GVO%%Np}qL){v=7h|rc? zYwR$((zR0y1x1{I$+-)DwGds2e>DGFHl}|bI!S90qD|gDFdE&|C=|+o%?K==Db!-) z7YAP`QuZt?`(~|BXp(NZ-5tS#^LV0sH!6oiTm2|!UiN*hyhTSox*Oicm+p!JE&oEj zAz}kb0+4(UTDb+H)z&1V-VX`|uGWV@30{Qu$3H?DjyKr|J9!`o9rg!&ivqQPb}L8I zL`mkY9}Y*g{_MFJF0}29Xwl$bc)n1_U1z@Hrq7=xiBGCF85%15wyIrAA6P&1tN}e+ zL9GS2cL~nA3%fnLrJv#)bOfyM#Fv>lWSzFjRP{lF^;nM_6Xq({3~@; zq2(!?<$n38=>rkJNX{WB$Q9`ho<=;0#v_S_1gXX&v4%2<#t5+oFIloHN~Hau3$F<^ zN}X7cR;$LQY?&I3yV&8Pq4%)LR&-(*&Y`)OcZ8D7r%auGnFH;i8AFkO1>XcM>&ZEOF__Ny)b_&1I@k`3$xn0FOT%;4 z!;ZymHXZrL9-PbX3oOye*b;H;)w?DySYZUC+h{V|YK0oo$TK>k z?G@Ym+-`z0(?Jhs#Z&4F>$X!6QTGjRbwf_@I2O=~q3XJsxRri3!!$oL%V#$xSsov%&!F1z1kz8=1BXBNu|7pI6t^nGOxuXLwc+nO+ z7Wp*}8u2>+23zlSfxgR?S*^{FRLwP7!=?NFQ-E8W0;FV*iZ0Q|!FAOt-!?4p$K$o9 z-7XGFDfLa~RK(-8WqWG!aTw9*+AIx!aM&uu$Y&>LGd2k}36h_bxmq9HlPkW+Wq*xv z-p2`pSX-$BqnwA@5A=F{qM*)F-oo62zQ){89_}DtXT4`hQ=SWt=XW?V*E5x?CGUdl z_jIQN29;yr9@aE%GOdbK4}Fz}UJ8wT9p72c7024ViR3~No9l(u_q)dWf~p49N;b=a z5#e|UDq9wxe+dCA?68yv3-8sodMMu?IY6GO(8;%%NEr*=C`LoMWfW#i&|sIy`;4x2 zipR=pvK1^NPMIXRXir{q)bpJckZwCX%FRZA#hJ+K+w)mOX@Loa3m&l^qIR{HFuDe% z-)*hK5W;j_uPcfg%)ybAD>Lgm`N|AuT8CJIC@?P6V_B0zk+0<7{O+c__6L-%!>JEZ z)S~Fi2v-*A+Yh%wRP-js-d!>%Nh>`ta zri8#>tmap%f494MN_afQauRpabj)zoRO}o*J-l*ekX-8c5MN6cq_4Efebx?m!51IhGtqr-{xhLP<~ zUB%=yio!hakly9aCd$rc4{xnV7Kic2aXwp-}WGJZBO{{U2A zOn8=l>_clNZ=)A?nypgO>mI*v>+k%F*oBJxzKBh{ zAn8M{4+rko@D{^qh1`O|Yq6S>dK?yx?T_PY1q9^Ka zDkDzBKP=LZpWQ-W(46IIKfT&aYWC>SpIV5`^ypI3`+Ja9nqu;pHX5tfZ)$fNldqo% zvJ-*>%JX8i+&IypZKD-QTyAP->auh0W=F#WDh|&-W@fjF0)Bp#RY>Z+A_p zp$W5Wh6 zs&{;0cr8$N_lrPO%xhix-@;D=1$=aft!hjMSk;`2nLx4;xpf?@yFNE(GUutgW zNUNcs8&YWlcSKCuQ10XOT_cXKc_?qjN33aBFm#n8ZsTGD8*A(lZ>jdrG>!$GI3-7c zXx%!^D8TYS+wjJ%-iUaqBVP@a@%zFJ)xF4AUL}%bY#&>ixx4oYqTfp!2-#Na#Y9cj zL-EO-6Q?H0j$KRwM*bUc`JD|CVno4nTV@~^^=K!T()=WAVBUN28GJI*3 zS=TsXzA~$KD%r=Gwu%l9|3lWbC3lcq-5;lA8W^?6OZ2U~WBNpWddMRqYQv)03J{AoaL{rMdH?i>FUwh;V| z3dBEY#YV$|Fkwo2xAq%NSug#VvfA9;ie;u=67S$7RUIRd!n`-U@%U>4;or%J`8zmS*_9W?g=| z{k>E}b>_69>_>3OYkLo+;F=KOyT;qTsW5WQ$H0-U~)a)i_KxXK* z7+dz9cf<+s88$Uv-3@&;;Z$g(U29$Q`y5P**z`9evP4`9+)r+q&QROpNLjS{5-x$l zwLE@x*$7s^SZhjrzw{>pS~dIM6<@R~G%#vhmvZTUyDF@|h10$!`NgypR+VG}M-YGNyVY&_ z*4n$Q5WntV(t8@LHxSfKzusucZ5!qfO{p+EP#h(nN)HS$QPgHCeZ9}>5bL&2&UtFi z;dJ$T5+EF{O7oN2K0L44^N=s&YLK8$WkS?;lsY=5HMRAa*lyVQxfj>t-%RfLk$uOC zJC)JM>Z=2REm&bH>2;hBu&$4&yZSDM4&j3}btt3uB)CXBJRQL7hRdeoa$-#XLF962 zSfTriq1!e;b=2m|hO6qa&JU6J8$vvLLytbk>~AY#2&HD_Si^cG?3>KCPLul^pE&Wj zRtc|AGDl5T4dO&bDa}|P4&dJ_PILL!EQp|6)J)_5`)KQT?KArq@ zaPgSADp2jtr$K*e&FwiiburpD*cOP%RQl@cQY?4yvPLHe@2KTBrA>~S@_R#k zh_|0NrR9=5<|i-)^s{yhKSm?|HovTRLT@=<$Xz<*vUDCDblKbBwa7Jf)Go<+frz>3 z$Z>~S%IvbpF3H!PDUp+#TOK);_2pn^fYw+%->|(5!w3?Z}kq zfH+jZ{6)9=9oaibDk97yt6Nx+un!5gTczKW*KI^Llh=n8%&ftUG3?x&?vx|##TQ7M z6~V+_uoI(XyX_B8L2^(yhh(7x!~P&Hvg?7mgBHve`Mp83wPvl5be%2LAwBF59lQlm zDGQ;oKVL%+z__5Sf_=7*cY->z5vkijmRDZUz>Bntp4&$k%m;@Je?%lVLHCR4Txh3K zNLrF;!vF;~XVINWO9k^{eS5K;0mJ_1>7G?qzNA)iAs zu&S>a6d;>p-?_fLw4t8K1sCQ#w%HN=!%|0dkY-^IKtKdIX}0#3^of9@oQXIs4oB*& zPUq1gHA>ZX(GS8UX8oyz^UxrYV=hUdMA;xjr=>+&xJoXTs>LU!WJe4L?eF>l-Td|8 zD&}J=1lq>6u&jzSB!zPZ7Tovioe{|z@rl?9il>7-1LNex@$Brl@#|-&8zdm&fdfKE z7a)BrW4v8R_d2t;fq#H7tM)U=o0=*{3KV_gc!XsS^aANynj0@E#_xiD86IpZst8il z6V>01SF*J1@e-G{5$)=f>yGoH<156Yxw$2g9j$ub#P1v0IP4ScD{lT^3S3&ev^|6O z_*J5^U&gw!%7*lvv^0)m-#AzMXqBsn4#m!*y$u(cIIf=VuslJbTQZ3;WNnvsqOuKP-(=S0!(X8N>E zcm?MUDU0(;@=pKbJx)kcJ-48McmZ=EH*MKdQ`6AVkZdN}DH_{1HKnwFkis`20+0*T zMf8LUo2JA0+V8a@VH(gpxe#laG>xRSLY|%@#=(L8`D<}9nt7to2(90Ch(PV(LUi3v zf+?!$qnN1WGfU3?4d{wy^?|~-BR96oFRt5YV&439z}kYvCz-JgBRJW=*0!vjhqNR< zxN-Pe0nDfymZu1tHU2^qcP{WSpiS74lxv=aoeQdOi{K)mT7;{>sdU*B$ZXCZ@OJ73 zn+kzL((7|_1jtSttzn*?Ld8DYTA3P7YIPU`Bk^azQi!MmmNbH!M0IbhZ9dTShPzz3 z1GlKH)B}>=g$etk;%p5&TZehsom(-fJbyJoqq5xEIyEi&>P86Zif;k{!{X9hFm{oK zi+S0GIm{Ic?NvzG1{~@JTvaP(kqe`Y6J!0JLFQN&S6|mkLECRVeqvU z!G&>2tAuJ;@Rm_4Q=w&J#ET}d08}2jP?wJf`C#g~czfd*Y*@G8DTL-~7zkb+O-T}c zWOxOV3AxeCtzp*`c}1KqyLQI_cmi=OTjQF>Cub-=&=NURHtPfca$CeHT`p-p(z+~> zc%TG9)`+_0^HdqTWd(9u_z#Qq!j3k03XC|_QXXisYtwYB%%uvhAE7X3#mr6^PvvhJ ze>NQQA_3ett*|7O1Ihvv>ZU&0vZctEc!Y|b@70IL1#>DELCbPWuIM;#GEhBihsy;j z^)rw?vw13e9}a2&Nu=G4FMc`M7@;J2{R-q~iD(nr%YCU>^2$yPPh~O*fEz8fs)E~D zF@QsTw`C=U!BE>L=%z(0{=rUzoCE(+HNZoCm!lk>xQ66bicBIUL9SvUS`MNI!c&>z zvQ~P4u{Ki;0(w#_Ja$?TuWL4d2LYW@k>t|$)vz1jTLt=J_{JG<(`=jJrYS+w_7GX_a=qXK&CXxT3ZGq-+^2 z)D+$sy=385BqnJSrNE?8Bl8?HTP>B-vKtVOkpIIj(?(tpr&~W0I*yj|d!77Hg9t5Z zLq0iq-3dry(TBcD4ooUQq5HDVCOAz#YfmYUXN7{Sy`R0R&&jZq9xci)#?9UH@lHNq zp(%{{iD=>%=P##RRx}4jY0Sfa46O)xxgKT`0zJcz9+iFY(A92C@aITWj6dNyi4QIb z+R^5m-~t7ZVBD6W*5Bf!c9{C>Mc{^waodsXpn)f~la-&k_%lK4&uSD-OsNmU{r$Ua zPR4MKr>$U~JhhOx$n`@XLb^aJ(So|tuqHS4ePq5)WEZ%9ges9GX*HXN095Y}1g&6s z>vq`}tDIuqA6tPP&aq(7&0OkshYcjQUYO0)Aid9mznDz_=u~setZv|x!aYH(fztob zj{^p92!#H?xILs@((}{P`lLcodKi^}A)2g|nPB!m5UUf}c*z4=3)i6Ce+CIS zi6oGuCMkl)`ic2S70eR0Y7ibEXxuIa{IC8s%$-e!F|Dd-dXlYR@TleP8vCDd;zfGp z#H`%f@r^)6x_>ufmE_qj+k;^E)gEvYp9ttqP=}$7n`{U8?xR3uN2idqq9)_=>U6G> z7Yn%eO@YEQaykk*$1`2a@EQbY5=YfEZG@on<lxjwA5_BVu7P+Q@i+(sx{^ahg)6U3}XNdBYW9Nt(& zJjRX+Lk)$UmWpS@xVvped~a+`_NzKb7f{ajGpHKi!T`=a_XxugN3I)!PRHj*498I% zfuBaUmo_X<)*9$bg&dc$w=@e7ya2769}=O<4!YMyIsc1Yt=P!;4)s=GoQD6zu z9c-21yyRx?jwy5-jJV4{V#~M~YdK=`@(n!?d=3+50hhMdy)>^sT#kqno89BGqy3$x z=JNS7n_q0pFH5gH#g2))$1o)S<$&#eOM1J<)m)Jg)f;|;Bg{-1|80nER{-n~VqBUj zEC{T#AvL}%L9wzkIGvD`D`8WvwI$Zq>}rPoclwRCKK-|=nJSYYugAP(BThw|XVvcZ zXcu!q(b^`ce}f7Um66092G6c z?;nuB`A^#$&rtJ#kF8w186dt>_pf9-Gd&{dbE2hH3Zuf^v#n(P#SRhA_TrDlZwT?Gd3k8W zysMrPfe<*Ohx{h6@>JsusGQgZs8E^`f4E9yhC6oDIjx?tfN%fs2jwZWg`VwdWhfb_ zIVQg}h#YEfTO6a(RDtZ=8x-w0S$7uIP9L*mRq}>Sqv^t4ORydVSh9P)5}An2ot=r% ztr@O@UGwSZZd^-V(@KPWwl7UvP1_`LK6MMqA~RygBmg60hT1(R7;E#zAOu0Ya6?#T z6vZJ1&)K%W%jA<|0sV@8<`0W;jCeAWWm}foZ*=G}AE3%F5}>Cmof)NZ2@O(15)7Qm z@Tryj5Z6+*qP}I;>DqW9Rxe!p)-Y-VUf*p%X-mGt6HJG&g7@p@Q^kOh`1v+qDFGhA zD{Db#v3(jciHDjP&I@eyLTwa-)9ki|cGL!M^LCgkQL8+ms@~n+v)n8U_hDfP{rG-0 zSB7$(?3|!P26$>G=1p4U!a9I=wu=Zme??S>@Oc@>V(QtY_Re?Ey(mm;yvySLHXq7l znToD1EUPK0sr6A2_wJV=IImnao+vrfIhlmMwBUD< z2S-S@dbTNcvh`c-8ck;oT zY3)l3-hWRg`)`F^=7hUt2>cT&D$_ouGqVT;@xd)(2yKx{){LVS{olv$H= ztvv-H#xHySdtuMU{r_0*{Al!!55+p-iU2cF~P zfLz=0^H^;(XM@SZDvJwb%~(w3`BCL z#fRlO`@StMwzFu!MzhY?p4qsW2NrsNuY}Z3Bco10UcgV1cds~iGcPBT7bSw{bt5-C z%Qn{9$ffm5kC9B$hK>+QC*_7MLI5r~^lxR7+n^T=_4NyA@5!e9z>AD^i>m2%mc^n%d_`ymh!0fge@Xjndgq zT!>4o)SMyh%6pifECg&*U59AKT{`tu2Xb@sk42e;49O z)Vi9hXK--7x*h&1%ns^py-?8SR#cgibh=W=Lbe$Tfev_Jb}md|lOO%#*n~iQXae<; z;u3Byx!WL|rLaZ$=>($80C<*m|3njlyIan{G$X*?3p6k2B@~J^Pw{3Bff|k zv)a{xDR)N+rTewtp>rh^Y?uyRHVAiB`$!eQjVH-8Bv7S$8- zsvnwj$|7gedgYb;MBlUhmpMt)(=-H=WN%`9-yz2a83dO^C6VQ3bfvAt9czu%#di&r>KtYtf z)spzMnyajNFeviUTSoKgG0>O?SH}{Jw|am|$$<8JD@_xp2uW3^S_pgX{o-R1{3|Hb zNKrUM0b3m(t!#MuxvgnoDt2v16+@5@Q*jmAqgw~L!ZnL$=!4Xq*eVm}d`GhB^x!R19-ef20v#{$iXr zpJ4@`?Bb5*vGwLBT8l$tYr-SdPTVlECfO&Mt028&BqBd5LQ?X6&}5zG8t6=Xrdit& zyBZdqV004tmMhAhfL1RZ|6FdnvLpt0%vjcw5uPrVRB8VZ}KVdt3 zrn)Mm#CMlw^C)*a%Cw^ks1vCQ%lHh8r*=x-M#1pc2PNr8WqB9heqKy6Zy!? z0EO)M8G2~C{t&mZ4n7^l780W}=T~K$qD{*neV!`xcf`#@wNnR9IYoSyC@Y^WM%zia zK|)-68D6}IFd-ccg|)6^Sp4EykeUn&D7nK7B9rSc4}+dJE_cPd$ewRY4$ZG8iCX~o zV(C=C+B;0OD9hmw;hHPMA+orLEF$6<#C(#;A*w2{nDwp35qNuhUJbQgD9grNKp>U zrWtI=zrZ-ASdK5Y2{A-obnuYR%9o6T*-Fp4M02LXjgO23^~5rh=DiZvB7&I_nAu)# zVxGaSL~MSL^bxg$;6*rp(CHi$uF1`rzYSh7&tK+MfA!`>+cD+wSA4ybD3Nh=8KCoT zbyaKL&_$-I5y;wNn7Ti~=lPKG`KwSObL}!<7j^O+L-u2|Y>u(R7(v2Kg#7di>GYh1 ziYTO$aJZf>XVJWe;zrysXQ4?02T++b_-;Wd2^j2->Bet|pf7zU4o3Q|;KBM%uNBM&_XQI;_ef)!diA;|B0H{K{Y<#w7 z`2z&!GuJ7z^lP4K5o3E^VnTLY;nx;^t;ZeXI)#w-87iC0X)`9Kd{+kI{dd()Z5^}* zr5$DG&J~hA*5D(V^J#NXBB5V(zwp^{sEdI;!YUbVJ5LbKdr;7aa+|KEK!IQK{d~tEAk<`sSx45=F7pA1nwKq3H;y~^%N0g{`^5FP@zZJNp-V2#a zYnV{vvt2g#f2cag;7)?IZ^yQ6+je$io10`~Y-~;Nk8RuL1{>S<#rJ|px;MhcFSvF47 z))$AKqZN)bM8p5-gMplax4XIYB49|VTPCQPdL7CTed3s8^;jL?G3)`HxYit`?`!B5 z5LWV!)Fhg+1aY7to!gOgp5_0s>sDHkJ%avx{5R?|Ik(9(a4G7+=jNJ*3X3cc1vbgn z(gZ%egpqCA3IwUGL)6U~H|tZAP-GIfD;ni^tM}b<_&SMKNs(}^?0&x7Xw7=!_AUQj zBWO`7+iZL|&tX{K2_*oc+fGUZga?DS;MNZ`)-0e5L4{bZEq1IYmpCKjT2U*{Uok|Y zndo)%te8R#LZ;7jg9(?LYT!DUUROUT4s1u>joUsyc5#KMQcvwVf@@3!UEAaZ+UB^@ z_6ZjV)@v3J&HJ7{dpUzl$hK$f^W`|lU0&UE>Mcl~K=ZkNX&TVencZNt{6)#Qx_-H1 z_V>k4>V+lBX^~Ce6c)|-xa55ev!ww=^7d$=^K{GTRQUpmsKB*L2Z;+)YpQBaFB@BqwGE z!ENgJY3%6!CLSq@=Vq}<7st$ zphj^g@4)I?B0>7J>!lJWh_#~Q*kYS6hYYyS-$_*w zj#ZsnjF_#Idev1w`9CqP{i2H{#BmXSX$-PP#JsNL^&!``d16U81^9;7@QDVN_Mx|4wl#{cRgG@#<%gDqK0+6e#ys0?FDM1jY?7kUJkw);MBl-fcl4rc=X>G zh2l{`+sOgeJ4f0BV7*gyI^c~X-~qiHA~gYrLEZh&hSqPjdr!E%Q>-Wv+j5kK=EYSp z+e^dO1p6K}w;j{ZHSGQ?ggu1O+j{%0C_FS5YX{Ev$a3O!ymy~zHN)3D`yL&)9Rtss zfb|;>K~G2Y_U2w|qERAbfJps)xER?578nsYf>3oQ9}F?rPnRy$lS)Q^0-f7`!L!$H zsFN}M33LW{A@b)(-cd!4L$P~*!Np9J!NhQO!giMPzXsc`wt|TrYTFqz`Cx#R2177R zhBEFl>^%9F4wUV3zA+$U4Ol!gf%!zj0zWD3U~pGo^gNPz3_S#R43obv{DIFB5zSVV z{%G|lNcz5j44-9BG+mnY-s&$PI8>U2$+O9kt=RhR@IxT+`@)aUT+-=M!S`04oX?AK zF?crlvlTsJewVW5#wxCqtUi>06pcuxOHDu4dHf|K6^&$9U6n1e(7F+fAhCD=W^kIt zgKSV8@2_i@HN)TVp7BK(nBj&2CyAIOLHlooNT{hi%@dyYogJd+$zk%sQ~A&@!l-10F`> zxD~PgCLDV|UC-yj9S={(h?1NG<~$tO7zUkb39pd_Pq&p+CqMpuVWmbinuG#UR}e!Cg&4a<83Dh$w>}3F6=NWkl)1L}Jz^=MR0J2OyU8Ah<0c7~&wA6~QzI15;5Do0l-G zv}y7Wo$^!Qfqc$AF~%@xfo%*c4;nInsGI@3SpY?Yn`zcuORNoMg+OfS_GO4{8AU?W z4~v(F!K;p-scU#4PQySidgGCZN)&g5@tDql=D})2zz)Z>a9S^euV2k)10fby4rN2~+mth#cweeDqkoVfX zJac(NaUs9ls!KLk((Bz8=V1Sp2cWXE}5*TU?1PhjZ=A}#H)M7;3 zmeooBoxb&F_=eO1H-m6tHGyD36jKk<7}M@>0|@r)!WjZkt(8fs*BAKGXxDPX6R(`^ z3Fm)o2Y7e-r zwVO%*8T_63zE+P_Nx|r=Ipr2!M&7CUzB9F1psKlaA4{_vQsUPwI$a`adDyXWx(bCB z)VSN>iN^+-n1kS`+Qi%5`E&#f-|>i5%;*Z6b;F-{Ke#QnG(#F>Hva zh=V=rc;)(4=l5e0x9IR?3P@8QC{;PwWLWsbSwzHHViOm6T`TG4%~XsLtk|#tXJZ0| z;0iXvQb~RV?iaV36eHG&SyF?mm;`0ZGgO*54gI_Z0@Bf?(;pSapxeN0U#^E6aqTOD zuVdfSM!0#_jE_ghISDS@PRWj9!tu4pRxBO+j=R{M)oE}i@yDQfE!q5{CsMe&96c8h zr8)kkB9(5R#U!wradbISEnH6m#=jO)KC`Vn7owy%{?&eFU&bVuW+<={j6Kv*HTw^E z?y}%h89hmj`F-AXj3GdJ404Ra(@p=x)D8*u8pm4~y!&_#J_K zi;>No>v0FdqzJ)Y>I=)$r2&y3R>d^Q8AZ|=!e{+(SQZlW1n^&pTbPD2R5dW=#(?7n6BRB@xe9#q zIa*zuEO_$>{F1$-FHRYTE%QkGA74x+MXGoPs{efkl=MXt7nC}{+b(F*e( z25?*93v!jQhEqV~Da*u`Mtj!AI_XVefn(f#i|HoQKk25R%hsTjO#aay7M43(JA<~N z_n*aFL-|=-$)S8bQHtN=lD#U^HM!L;W#p(xb7fvj|8Pw zkSvV?%g+mQ<~(tV2f&)?{)>Cu=BUR_Siwz?sA_|!nj!*ISJtvLV#E_iL385&r zj2w;$7Hl|uu;ck4ZH)AKAw8HHi^80-t0(~s9y~aCD&3=~&WA^Cl&c~^M*TX-a8`U@ zDanz)y|tl&eSm##5!6zSU2WDwMY7p3)M2f(Cbqg!q{Fyv@N&dlj11SsB?@Ihm&`(r zx#36GJP#;wG#Fg7VMF()pm6Y7yb06Nd@C8Ga!+WuPdfCI;m6VJwrN=8%wx6Cwf+la z{z=wpUm@Jr-(FVV%S_1Jh0L(?J#n=Y%IzC2=PeynS|3jOOu2Bi^A!q?DeGiEtXOEd zUC*$4n?7BA-bCUNIgg=d&2EX=o-x~xDl^@W<5+!8@vr|=H3wBCg^Z#qa;v(mS$ zNgx@Kc)4`qV3h}t)uUVV(^v(>)Fbi?q}0!8oMhD?EY>MXSrwh+Hdcji0*1U^v{Z}< z6+$oBFx|x67Wj?7&BnDv_nEos#ok26Rqx2z5dFUqCks{(4~zE~@Aq+vqS zQo#e7!(=6@HiRJmw1MW8-Iz~}G7lO)1%u=RF^42Lim)Z#EYpSl{Gsp zS(m8}JoprB*~e7Xaywa7fPc8tJVE7Dxv@1?!n~?#wAi`R3!o@){*frmhmg{T#YmgU zONqe{Ec@6J&A_cLy>z6P+^B0c&Q_%8q$rQBXOAPdRxgw8%r>?y!l3?}Gh;sY`?#yi zFoL?2_;{>Te{3$*hrhhw;~$5Gh@5JH7yCvz7j#m2_9 zDCSZ+CZuOI&6cHYGhNPOo?LQKKs71II|lU53z_~Hk*qmMRCm?&zHY;71UDw7n}tf+ zRiFz5Gk}`9F}3QGuo|L78^q0z;gS&aB7S7V6%Rie2sG=F3dZ?I(39$b#IX=_`})(f zM@n0&V&hhpSMo695e_X&pJX3acNO<*prYdivl=Cn%Iwmn4icSIxN$b5ah`S5sV?0syE2 z?_KTeO{wESSuF9&@f>GSGqs$)dRw&*Y%b^A z!`07;Zt7&UZG6d6=UoQv7Je)SId7O-?-edDGLbvrZjVD{$9Z-KVKk6&SE)_H;NUN@ zfr;~pj~0q>d_g9Pw`nt@I=3N@8n+wmUR^D>K)t&|vebcpS;eAu*$TpC6CC7@?~&=u zK0SY_&X?c0Ti^yi8k;xA=lv&8tjP>#xGCMJ!W{Do$~MDG4akeIJtHudzwOU2^ka9j zdn*Y6P|?S6`!5ecu%UfMCLw@H|9%$In{H=?Q`~~Q0*--Yte?u@Pfm`5pvu7vZYbDz z;7U%az&sD+^?*AZHGjlmmOCkq`=NxSgUIZO8ID$Iw17&+2LV5EWtdi`}`n3|D69+S~MjQFfCR zev?HXB5Ndf-X{r3GzF=aYG4tsYkL&<$M+_2q^x}8WBO1~{6@&}5pDKP;}9*9t#MOo zJQ{+SNYr8akno9tr-GD7+;w({&Xse1`|6A~)^`lc{;JUr4$Tra1}+pI?N3YpH#Qk4 zp}B1RY|=+I_K7-dYS5{bR|<$fcouVRQy_}_Y@~#0L^{#*SH!;H7T!PWAV};nr_hM~ zzn>AW!~q(TxlVFg*tr&V5zRgT2lN?P<*>l$m4eSWPKyHCr`~@xRxl%efB9a zb?ZE44xpak*sOQ8Nu)fBnfsvPx4LSRNNs79K$@YIutT##c6sYc|t z@LZ_)j|ho|WMe_esnBWl4wkwJ@-^B|$= z7~Z8{EmKj9^rt|bsX8XV$=d507s>otOhZOQHaP#RGrq6ezR*@hz3x3mj@&ZGSwSg2 zVb)~~yMx5*#g|r$A?=s3_;}Vwwj>CDF*7xly_kkZP!Of_;C|?C)dW`@4Hna#6%8~` z+*Gx31n+PvEmrpaICmGY;wvyTE%RzyvRiiuA9t6Ktx3yYiG(70jAzEZg;xc6GrZbz zz~=hXHkZx$7{!EIbZ$@U>TXq0OF-x|*6AO4c{{wCA^UP})>Yq2W=m*RAl~^-CAga2 z`7$67b9e&3Oljc;3NC^aGyhAipk zGMW4t%4JGgdV84ijo63!t09?eXx-mKPT6g<1L*AlEd>dO4Yu<&#ukj1>Y6w%MR zj>98+xDbY}Q8ay5!Xx88D}a>5-p*0IweoIYr70!_!~VU?#1~yRD}Cbf0PP#%-|Kob zsJ-f}HNvycc(HOp{7BMWxK+MYw3VeZ^8x)3w*%b7pPP}+#fa_OdIxu>E||cV(|CL5 zGBdMsfABc!9F*Pp5GQa)3D4{M?r)As z$CnRgpaShRi)6avj)xdG!1s(DEgb;QM=YcRkJ$iCvtObT;L%Iu3xRNpOh!5K(j?r4`Gei2st?I)?a@2+TXY9!9j9&SQL}BT zw)gVUWf$e|gkxC|)RnUViihC0FjfmiPT;Ef8^oF;p2j0~L(Du%(yFj8VMsR^&!eU< zA)OyoX?>kIKiwoek2`BOGQ&Tx(uO)oezq2Ty9>f+p4C<7^x>;u=h;;sW7DVo^&R7$ zQ0ohDI@k_x*40(AZscFylnSP(68vVjLzzx#%Y7u@M`F&uyp2ZGJ#jfi3&ZrLfdDXu zzdT@E!6+!Ry-qsRgWfyBc>DEqxAm}0P~t0ovHZ1@*!*skd??xSHDDqtk( z$RR3F?&*m4#v-1^;K>sBt0oD(W9G_H%ExSv(QjW8bi!@Aj7_(}jR^}-9w8;bI>bZ3 zU>b;@OySmJ9zr}5WQ@#;mG>wq{sG|$@8It$#eh}uwz49ozMeP4?G4v0-sxNOLpImD zm-Xo@*L#lj%isBV?UE7)1^MlS)OTmSSAFZ#_+s$g-5G=m$UR zmu&@k(Tr4o5$1nM%@60+r(8bCsOk%@%6bCXsUnG~-2y*_XNxK>1Gln=0l-7=RY&jD z*823X+IgBtdMZG{{9&f|zW-V5W6pAZ-ujPhPhRS~s-D2q_QO*11M9-PLvhKrLJjb0 z`Kp~;3UJSVDC{d&v4&b(r>3@)l{78Pw_Be!SX(RWdCOJytVK7!6*jwC>;0l&?qXmz zOi8Wf-c@Z$_+diGZg#*84BfBFu8Juq`kB#o#T_KUKZtY0O%^yXXwL%-PjlL1Q4eVc zGY`mj9^OdXW!n28c*V3b!lvW*5B4n~BqoXvvPUNBt4Lada=Oq}=I!Hor(xr~YdVLL zq2oypPR`i>2pZp*AFEttmD|!h=mQwzV1TVri2Tz~`BVH+gBYZD9nmc`>(W2dW*j4f# z8PMA>#$5;3r~?>zk4VrP2GX72`DooT*l zj0R7~k2H|?m_@#^Fx{OWk2>^FemsEPDdUm#z=yw0lYfZKtB;B{2MEm~cwOM!t!Ip0 z2U7H4g}nul-r2^Dvg%OuAcnquBfOh(J&?v4RThmWWg!PpLnKHHLxk=$uJR&TJtE?A zBa{;(93I23Pr^}2BjPY3P+=nKIwRr^A{-(ku7|=|^THi=!?2A#;HZtiABz^L|zj`q9R7t?MI*vhF?2G#K}gmnnlzJN5qBvr?_%Q zz2(KaR)q@b=eUGoU|`s~iH(fh`{&>D zw!eSxM=QHPg+=mylSg&^=jlH@_HLo~?V&JmyM6$!uQQWJp~Qi!%8Zp=fzcX{Ov2?g zKU2H8uN(LxK4TRLMk`LB-WbZ?!=9JQoiUrbC;F;juBAS&wM|t-v&3)Mf>4S<*=Bjf zOs%|lHj|EYRIazA=*bXi!6~-f}HLZR@(Zh7A zMQn+j_5?OA(J-Aiq|v42SNW6pQZ{N?*jd^_oPa|0pa_fO%$?l|mz6&U$q^bRD{$QW z1G@`iSuJ~7{=i&XDV9v@< z;?1Rlb`%k5=4Oxbg+~W*pP9B}=ftIBM_AojdqTFhVdvBmhAa|2CFcC^wtviR@V4V& zIY|p)hy2u;Y)H%uVpPwzUm%po+uAz=H@AxIze00iZoJVJ)M%M6b2Z9t{x(&pz(3Cq zAS>{qj+=Fp5utdpZWLK5JClwcklRlGP7H8y;Eq@0+|4DM5V0IUWy@LV8*27iL&eo7 zJC0>U%UUU=1b-KAlwjvnbbkPR0uYt=O1HFxjYG!%u4nTcA=EvfxD zd7Ny4H*NnnUkdPbG)^WXraRE|yTYhpD?2mr#V2kq;=VA(&rEYa+ky4?7fx5s|L6J8guvte&;u>NFMGIAk;jwTl>epupvP2-XH#}50db~)6Om! zPuPxeSbg@u+-tL)Ru4$}5>NE_Y3H7tPdA#S#q0M3dd07j^k3C~4xce9&o)@m1=MCr zhFzW;!$)qh;d$4MjqZJJ{-7B!jpMW%<98fXa}*RKT6_~OtUvu((uY~tm%@W*ygk_J z>q)eodc#h5(curEcH;&SddNLD`>BZD_}mPj2}S?W)juEcNA<@D>XnSNNbdapTYu9& z*-BBS1R{sY*4*x=6gm2Goq<}**$D?IAP;j8f1v&@mHrs6^^NpbZQ%N`RiDwGw#XYq z&!f)`p@d46qGbQ|jRM@ax7-~9b`FFB>-ofmu#tlek``V7?mfAZu>)ZZe~8IRf}WDu z_OF?`xoZTy);lYDad9o-(iiV-=Srbg3{<}vg9Fb!~L4c$g*4-P_Fpi*TUsy(qJrW8 z|2O=Auq@tvRxqk{Y@WinDTl{KE2#b2UZhl$Y1RRxT7-QExF(b=!ag|c^cwT@ALi*b zZfug8Y=NO{KT_;i7(aP?=>e@loCd>QviO#s&`R=vqCg)*_B4VLQ3S>`7?Ht|F#N~^ zyq)DVk>;bK=Hpi6Ka>lmwbtOa$=zRJ@~JT=fQ33ElPY$e8Ilgsjwy{tm(_>B$oKvY8F9EL%*kLfkMZ-WQ85wbbXEwaVm z9O?&BX>Ha#-W5{8SS6NJ+}nTVw`8~-X+zpfd(zamvrcx0-%WIVPbvI=*$Gb$fwQJ< z8_{=g?(CW$OK=M8N<)B;!tI4(mv|N#MuL<2hg!<5H7CEyoEQ$0f~5+`|8?*cYTF40 z0W|dh0tN&cI)qO|luqzZK4O!%oUol?QLt=?Jy@E9-62u%4Fq&j5Nzun&ql1r?q*xp z7f}^S(I9Fi)|8VGn*-&=S>@$f<)u|o!-NlqnqLQL{!WFX zolAdrQ>s=CPl0WCrO?V4v{~!+6Y+iaCf*&$n^6$SyLI4!+eG;v0$w`tggEjO0bh&t z|JG`|B;bUUQ)YI|wMl$chjcL)@pMXR^E%dIjr$tnv8EI9InC(_4;Kn)WTfD@P_RUD zkZFRX;KaZ?Wx)P3EV+#>^}_cGgAh%Y^wcEIuV@8buDVSb{!A$~_~tngH|WXeTI@9; z1Mad*n*F(*e`(Dd_;XUSH3I7pes>lKa7UHE2kr$8*!BPI6RQzT8hfqa+#>ulM|K&P zM|7{6U}n@4kNul|e;t_OJ=y-6m>!i#@zapTj;C5)SkF zS3NCOYi-%E7zXNhYJ^3kWMO@iwwe#e``v0$N3M;JcNo#A)ot{w%fAdAuUE8}JUXO< znOLb7I9QIkXjV#uT`{5GE&J(yY>sMpR1H;<<1vZB&Fb*SCHci; zqsjb^j^ff!!fK&v_AcMyMg{pVyyOi^Yr-QxAva@mxo7=2KqL}3SiC}tCkjU^wc3tM zQ|Zc2O7t?uJ<)t5)XzB+nTjW)ywuK_`CKFhU-E)3w*p1QRzHlgOFv}b!r(8Ps5iNQ z)j^4rLk7(pG~1goKE@0!e#IN=t|a0V@*OEISoWfw`IW|MurzE#KtT?xK z%$;?rSTh)e4!Wx@(HdDZ7;apI?iXteF}b#gbqa)bXRa|Og2u;JK!dRPS;-2Z#nSQy zJ8L*_<^<6zOvvohORNA2Na(omyC9qNVS_1|n?hwGYP*Tn3zOQWyaclSBG9N5CORqQ zU}ii^Ii2{|h&aS1HTIareCyTN9JD^Tgg5U3#Pl?_unExY!^!ik-TS0hD~mHi?M`Jh z{|U%%Y(vlK=T(L^G&&xKMmhkMN%Mv9%xP$kfC=AZ71T9`JYE{g6OxI9zmk=`yv>H- zBK32a@IA<<)lK5bJ}=%8GLK3q(GI;8E?51gIn9|B6#VQ8CFlnR@fHRrtY|1yrxj`L z2I4=<9-pukADjTWYkGXL2h#|ffF#|7t!BY$$UBiV`;0Ti0CCL~L?Clu9zi6Ib@Z92 z8xLhq9u&LO&27NBaUit!`ohQ#R)@AXM)OBcBUD4$t)_=&AI6?XDrAN}cbt&wAF`xp z^O0xZD-C((HkljcA7=Qvy>$e8uiIyPzVPcIG0(5VRc-VGGwU8t2x^=}7WkF9mA`U2 z3)uW+PR2whC(YjyKmhNJDKzO59zcMyXUPt?fk|vL#XeJo%`+{>J+WNNxkaSZjOWWN zv|K3qxm-xTh4C-hAtYI=8xVh^fyt9krQ^)f-I~4UU}^}gCRyu`)Li4V{VKKE(H-Vf zDrt*F;V&N_S$et}TcQQu`i^?~`}=y>!IdG?SX;2qE}6e{IB-T-S73}E#s2J+w?oP` z5k0HrxH!Lr{!^fjkcB*Z1P06}NYf*QYO+orlwG}?$B2u)A@i(YmP}n837N5y-G6OU_?nC4R zcLRAtKD=!U27G_Rha#IWat3P&c;(bYM3+prl)Q!nRY6+-hIM(;4hlR)h^$*f>m!A!A*hYo9VMLF|dv7>=yK)sNH12T&F*&t-wIOg_77;FR$wtX0%!T*-iGP+ zOSGMbmeSBSdlA(O&o>%OAD6u&{EniTS|e4(FsKP+`BJ-xmm!1e@Wt%{9FB#jGhCT@ zzp59b8+ZqU)ep4)7YA}Kj^Zt{=)Y##|C@aS%M53Z1}LnEBi?k(_jUVtb~&JnM#M1f z9~1pOf+XsU>hiO1>2|zlaql>5n(*{d^c&TLHTWY~rF4Wnm2(jW@{3O}JiZa1*+s{{ zHg52bj`ijV_nUZzBF6T4Tx5_%B_4@8ElYh%+uTMAoJl_WOJ&^&(=O<(dq0zx6P}@^P z*o;d>)|UNH$Di;#cRIKS>(+NeSD;X)`q7Y|OFjr-QMVzfKXY*O|he&o;Mj7v+VG0}pv0&KsVt8(k^qAIUm2s5G& zz3nZA#Xyit=kDtKWp^&jtw(gh<;E>eBUzdg4WM1&GUUDfMdb6+6b~j3W`_>mF1H^@ zwLU-7m@kVn=)JbQt4!GFgxWk=KtXJoIadQF&hZau=qMRTE@B_A)s9rrlJAjK ze(R~GJta1XEzUOg-PbQLd6=b-c(htS-P{WWqnj{kl~L}z$o;h_XHFTD-6hpgeAf*b z6^yvO63Z}%EF{9AS1wE0w9c}+gBc<2bPgq+Tf!8 zKwHfbm!&%?N$hiEX&K8h`SqX8#g(~6jOo!gAd5-cdrE#Q`5ala-D2Z!w%k8FE*ls0 znheP^bNn^&x=V>Pwe4r^)QRSP^&4mikEky7K5qE3t91X|D-TlHn(=Qj)wAa&a=Wv0 zxae?a0cti>mhv5I9c#%H9^0DF|7hj=9o1FZ?7ZLy*TD_%l^|-%-Q$z1d%qRmM&YU2kVhftRIp%ql&hIt0^_g!dNJDS87E4^_FOtZqz zSooMfUNyU@E3nP1z~C=Wu~iZ?AyC%+=|^UP2adA3mvq#sSYNw;l22Ov1uJ_(RPd~? z-l9wQ4K9IVRl$L&mKsSIILmSY<_--Htm<=}*mz4Z=CZ&9?v?Y@K=_h6>ohC}4vuCF{_&Q#iqWYhH~VwyDj z0faNic~Y!Pt3`4rt^8iAPja#^#*2Y~e9ePP5?*LAThg{!E<~u-l-J%04WuQi&(611 z_N?n*d(1cu@guaH5f>&z@TrqgRToNsXcEwB|(XpI=S<8Z9dZj8-plGCv(W*Y0Y5AtY~OO%|Rrd~}*}XI0Wu9dB0dpQe={ zV`G@t9cnKH)#Q{MwLq@(oMY}HrJ;yahi|%|h|+yYY#cmQ^VjbHJafm%D9S7UG#dPz7M?PnOBmqd!6$m- zal|+WJE=X7*sl0(Yw!F}j>#a+Im=-2Jj`Ir%qz)3dDx;bL2EwP0Fw z{s#;xo95cyr`NjcF(r4c^cU7w9rLJbp-2+RhY=;|dD=mVx1pjBGcl1V;;xa14IHg2 zl&aHtziz}V-w$&2C%l;ua~I+BN8w?K`+h(FRd&)2X&x?A&{5Cj0e9q}2WM z&}mrbVpMdo^m=rq$Mq0_^gQhgo@|L4y#HWFS}YSdj;tpw6zt27Rce@<4z_woUx z7m3UCJM?h*1$1i${B+}*h#P-hh3TOZ@*wl=hT>$h-`{^mdmy96rC0PXs96-M+B_iH zbI*x5rwg>B*CvN5#)K&p9l4nu2b&L6T~Le1G631lD;h?3JV|73= z=vQsZ5#UDzwAEH@w*@%ll++cex4V7*J=9L&dRb9AFq{hei|haRXs%E*X!laS-oVzs z%%MTl7VmnLB-ul`*t8bqve{+$%P4G4UdWxQG)Jhj?y@tlnJ{*HR&cLK-A7sC-cfO< zGP~a%&zrKkGL>9=na5JaKm6l_sKxOB@A2X)Z>wto_-4`Ih)qa1AwV6gue!A&p!>^| z4BcK}`kIiwt~s6XasW4hdUZu$tvkY(q$A9h!^ywQ@7!@B*M7}qYa9_06Ow4VD!SE6 zZm?#-6lbBN%RDFdan1G@|*@-OvuY<~8` zT|H(tz%MDJg~l|_S0Iw+AV3lYb9nH$s>4S=Gz1r?7R1<^rqV&TZ^oCLy{jrk$Nh*- zfEpe)B=#lw3AX=kcU9cNWGqAHL_+mbtN`S}gd@nuJ7G#)(85O_rwLUhr(DjqTD8JB z&c3!jR+QKqVhXjQLvT4tWJ*erUZ|F=QivK2$j^~WD7ybO=<36qyVVnS&?=0(0znL&KScMM`Q&#*896*^>+N;_vqcGYWiMOL&JVDHXGyVU43S zK;mtECt$@sd^uuKvKP$!Qe+(<38A;+(HrKb#Ha_4KUF^BF`M-!sFKKi`8N-(1FAGNYuq;oQUBXeVpGB{yWpr<6!?>o0AfEps`LR> zdYggIF|QRJrAkjfv_^zE&T~1v{_S&B)aQjX`vPS-O%`VoxUjOd#s=RvwRWJu>jH!4nyHP-Iq49?by7sgEW)q znrS}qa0b7ky~XH7St}jyC-~0s1z8>a$(ZrGS&U^SsTorr^6N_uii`Oa5A4D#fJe>a zd~q|R+$VZbSinu0{Ons0f3eOkV~p=)@sfoDdAA6qTb|OUcKEMtnaw)&C)`33HZ|0f zMaYnH*qFs&fj?vgLLVC!WXWcP(y)D{KYXRdL#K&Cr;#Kr#Y1a}LTiyEwZ%iPh(fQB zBt67KbBRK8ktCJHL$`=Rw~!C& z!y`@j^GV1aNe4cl|(-5b2tOq>ejX&&FEzwS{}PCPAEt)tT#y_&#@ygy7ue=A3Q!NV|X5 zZdCgtnKFb#l=v(^x7bnucJZay`0V_6iJ$CX0j8nWLDQxNs0q@TidY_I2}!+7CaHnD zcQKYKtf#wlTF;SG8jb#!f9@M$uyC~o=0Zvs)o00e&rk)v9{yKD`{Y56R4mi>Nu^=? zPPcd_U3Fk40wEcZUv)t6BL`x91Ud4Ne<{?&OtRxqkl{V?;_9D)S2{u5pI zB4k4-CqN$o*;p+5(((2ZoRNM)-o~Ud78)DyW>mgJ)WN04AwM#{p#>N$5xzN9qyl~2B zZ979CbR&|f#A6E!Sf21tHHKd08}*iV(TTM#B?&ii-6kh%0pG=mecTHXqv$WUwMJ{V z@^8OG8NiMDv@=S#Kl{~%$lKA^knw=a*Lhc}xideYwI2tdykP(o$!DWQYRUMy@oop6 zUT+~PnSPBGv+ouoMzDRsReJw3jET{tyf%#agHvkjhZE-*qT-O zqEOW0#1t^L4#^>+LL=Hev7-{gR%#^FPO59;E_(`)8#^U~ZI28yweS*Zhi_4_t8=dq z+{Wd~+|x~GLDYt*DMo;Om#cDq>RAXS0H4`Gf#uUXZ&ph|^gtW;gEow`*<@2SY(di% z=)dT(zg>{mwd?=&7A2`7LX?G(@o@RwwCQmMbo}?Z~=q*owxLcH= zBb_+cek@&6Qams<(awm@Qr37dy8e75^rXrc%o6 z%my%gZ5`YjGmb91hqGYEio`VNHoz=Po39U^whT!bE<`ESEDVKevx0PKh+#sH{944$ z&qk1hIR;8ALrnf1SD>!@nxDf`90k`h7S;M=Rj|d0v+uwb9)U}0ALUm(52jU^n0|T( zzqRdh!hp&hR{=qX2MPFhc|m-A4gMCwtU_9(rq~c=Lop3*wL)*0 z1iWLhP8)8u$iFMGp0~)FDq8`GIR(i6SFJTK0?R#z6{sU?cfs@)VNM-(G$=zGsM~V4 z`8D7EvLmb4PXFbKoX>;M?VjrRmv34?*VzWDd9|}-swNFlaxpqMdCFORz`wHBaj zYbu553+d;w*EZXp*6th_s zfqt8Z&GXWRTo<7khg9y@8cY~TUGwA;*Y~oG?g*}^n-j|>-+EIjTmC|Ow^lNLUMtJX zyVwUEXs-?{dfGfcV6U^b!+o|xA1IQK;{V&aWTvf;k)Esyr`+Yj1gP0^txEg( zI$tBQe5Ty`nqnGFefi)9JNXb(4FTvV$vI^lIwZ9JGvQADS5X~oKLwiB^0bC_#| z7OFV#{`8qo={^pP*=5E(PHdR^uJOqXm>R;|9Z)++7ZV*MC4OLjO%StPBzrO~OYz?e z%?UZMm(<~^cBi5V)p%OP0^+{%hES@h#DdI2ACEy>4aa>I3Ol&&-iiLQj*b0&(XL!* zDWAZbc~!!HnL8TJxDGOzIL8#SM^((-sST%&Lb=gUUvy)sQ<4}8_^)&nkl0_w|B2;q z&tDTgQ2ong%jMS@DLDyGXTzFWkiE$mGtXL6Qf41hM)VA}VF$i10oMEpSSR@0I`3b} z;%p=U7roPKd%ko(!!osxB;$yxtq+H#zaNyd)pGBmWhuEXrqJtsiCia?oQW5Q{NM3Q z3gVc_EgM~kEOAb3ehq`iHVM=aT^HJJqTPU^x>;t4FZxHFf)>V8(4gE@i_`_XB6RzE zzvC9T{z+qA6#E2t0iOgf^8XUNU_yj0Z1ZF*#?*QHz8>#^>%*)r-O8jTV!*ag#V2n?s8(FnO!G#k$p2KI>I zhG&{#Rc?;l2007kOPJ#n?X%FefmO~$$%0|VD|x}6R)IMk8H!{Ds-cSD{k;qKRBN^@ z#EJQ%_7f5M+COb0-_^gHmEoZ_wJe{iq1(%fPEo;0sHB#(C6YC16NLR*n@oXA`7gjr z>zhm{=O@4`rr7BJ2Y8hjHSL1Ka)M!QR3E|iPBB=L)uMxi2}IXdk_FJL0=n)fv9=J7 zL>BdTQs)o?femi&Sl+|>qNwuc!vELRxralwy>WbRLZOh%Aci`nT*jqHA-7B}P0eu~ zrd$SN3K>Eq8ANie4E8ic2-Qe(DGZHhjxI-x;gBfmIBqG2DNNzFo%4H=v!3-ld#$y< zYrSi&XYYUZyWahob^RPsz|u~HN-Nb9Q-AASIPTbI@;XY2*J>c_3JO#POrlNB2Acvd z(y>MrBIXCNl|>tnJ`(H8ch}3kY1Si6dkYPHFR!zlZV@qlDFMkkHi5ib8RH`y-6?_x z76l`_!l+nQDzU%1k*+97*Y444Dv~d4BB$9#o6;GQ^snRe(iEaNTBp=cSk1Og-(8Zo zG{X6FuNQ->mzYX4s%)eaBA@shmZ5zZD}FQXzKBZ4IF!3d|DN^B0ho-rP&hlSYmuVIN9*?59*X+SuZqIGG!VZ^=cuyCp2IK9GC zNp`qBQo`nmK9IV-l)r7D^5uo%fRa?RH4mi2ORi8kL^Oe^2DR|S=4{Op5O4kJa&U}FPAai9== z(;^*%55*JO+q(Qey>JYT$YInj_}6T0u{N(0oAa+$>>1M>y~b#Znr)2?hMqU+hKLtn zhn>U=&=0ZMcfIgC&!6gUEamO$_LiQ| z-oaNGD7;6OK3i#aV>a^P?}_KW2cTermwM3_HwWL`vdyOv0q)xSBs7evm=SeCdziyl z(FP5-*LJd#_&hrf;aIkW(|uyon`dO_d6Vv&uekhU`xR8-BfW(0>V#38{hPjqE#am% zgOgY(M@ot)uG#c0qX;sIN_l0587(^*hU##0tT~11Xy?6j2n$hMd?+a}>b%aOMlI-lq+^<%lsh%M zC+1uTRs7?c_v!`hN5x1>v>rj)CfiL17r- z<$*pIaxm!06dW4vbTs_WlF2ay>^tdy^0#DQnY}~?nTm_0M$Y74!ccM}{ojWe;+o1r z6n-aAE@c|>U&|Yu9_6+;+6O5Fs z(G`ae%9w=7DUOApg+9e8*H&g)@rL@&bj3Jb3^oqbKg84h5Y_#we9y;3O*5tML zPmH+AEY?09(ZYqafiJGai1snh7w#8Q$LDUqKrfw-6{i*(YH~^jYq_<8<-srIgS9eh z`osGbLkt+nL&eNa%PRrTiT&<6y@OxG2i-kOSd-ZeRMulcL@x~Ux{wZ2ywHDD;CTiF z(GWD&?nKb58D6i>L|N}(@eWzd2ZVQ>&O^t1YKeyoOUXBm!vSuZO}5u>h&YEe?J>St zFWq8TCbcDc{aKOWlZci_+9}hAk(3woQ@P9oFR||yqB|ccbh3|FQ)!oCYNQ1blVfJ( zh3~E=QkM>5I*r}k7MxiD(}^tx9C59CDMHSM0K> z^3u1|C(Gvc^C}ub>{qpF!t4);t)F$9mn%0dTj|Y545bpZnuN|Gj?~ZOnMaYYnKMY= z>dWe=ai9L6sGjbJg9(qNMSCU7*E^;8duko7r6OBqHz?y+OwMI%saz?v+&)PBO&mv6 zMBF0EDQU~Ii&+`B3N%o*&@n$F#Kms=^MnhAsdj=+MQA|EeGdO1+4m-P>ke`o@@c&l(Zo zd;o4pp=^bxHaf4Usx4`%WFv%3(obtbH7n=Ni0}m zD?W&2#{2Da3=pCI0YQq+kKvRv^J4bmsBAl~iUYq*%X)dX2sywOAjec1+`9dde}Ah| zTJXduEwGnFT*A6&^?S0<=U2}o_lpgA4h;x`nauc&p>njj_*Rrs0@h<7LAK7(u<9%w zcj%R|IIh^Rd4Y5m(ewHs*HL{NuQ` zX|zd3Tg_LM>8!38yVH&aJ(bjbJtwEGaNDxKw$*;Ucwox?n-Q^ zt8I#FPpYdzx@%98D<;`hIjL{zD*O{7t%ou%r!JS+Jl(v}dzcWeQ{r%^+fF7MPaRP> za?a2J<(~z~jHp}QS=8j5Eor!yMavf4o6)`Bn4pR4cyavxOx@i^KaGy0iSzDaOk=|S z?XnNPG)4q1 zKsu!14XSN@rbV=M7X^UtTL3_DbpW`u2nBe9)?g7-?G0*ahZb_lSQu#k(EeCCtMd+Mu1Q>3EZXp5Ag=x6OQzMS690egqlg9 zhVtt9cL0Dl`ll3+1|cy&aJ$;-u`2*T{v-eZR}u(>p7NobA?a8_oj#z7(hs*UY;mo2 z7X?c31J#vQTnTd`05C2I09)6LrWyft_^LamvQ8yU0{nWV$^ pX;;{YmCeu)N-BUR$e^PTvk)>n394?|0qg)S!;i1k1NdnJ{s-bk4|Mi^ zXzjh)tG(asU0Zvxp_Oy$>E%t|^ku(9zeG<@&&*Ec|5kBsbKXt!~L9u*mj?>?IExY zvg}|F1H<7x1nzM?Gaa32f7FwDrqNG81_Ygz7W+qWKtq`kc))P5>tXJAP(!&9 zc{mrz)2&A|R2Y%*f9u^+_0513M>JF#fus2YY#fsss*J#~I|u|Q5*k(-futQc4KbA4 zK8wb4O7WPb5sELF8$J(~$u z@x`@eB+1qyJ(|!@#*ggO2xaX#8U*#H#GW=ves?Be_L1RZN9A(PZLzA7L!r7 z%suPE89Ybe$V}?91Z9dYIq{+uV+X}qf{k~4e4v%$WlMn|#jBPATPR+)6zHHhZza&` zr+CX!AVBesr9dmidzJ#5DL$|g2>K~LvJ?nXT(A^qqxjTPpq=7#OM$HvUs?)uP+YVU zXlteT+EO4$e{tDTU<<`}E;!IY;OI>qi4&BB^kjT^ZhAbP-X9%L#0lJyOf)vNJ31rw zy`fYrni!3yb+#{{E0UT^$KpG6wo?*eryocr^kjS>c{D{(zzG7du@wmwsAeBK0BYE0 z1g|bpSBR>K>K3(F)DlrWqLzy46}3#%a#1TptrWFNf7F$tR*PCAYAvsW?4ySFvBUF2;P44RAKZShr-mISXeIEX8RF_i`9vkU+u_4#ya@<={aM z4>9P-f5C@1Jj@`?!6=6@20b|#=Wv2SUk>UVPBGY>gVP+QvIu%-BujIaVQfya6P(R6 zc2cq@I9p)s6k|WK8v?dXq830QT%cHmBKV=97jEoCF^1sA7(AFl8BU=b=TU)6sKjMd z;R-78E2{AaYVaqj@i*%54;t__pvMj>_|JZ0GzOu3^?%;?>IXZK(PowGfsmS z`1BxfDHR1m(JmF|greP4QKVF?P%4~4(J2+L2t}uiI)299`1!ns9X8 zf8g-kImeiA_>4tENWxO`u8@Rpkd)pesaPiQ(iW#PhQ;Q|r1<0pPp0e%KBN!Wz*p`O3~_zQ}! z?tInabCJ&#e&zTr;xBtXNWMbzg@-@se0AVY3V$Z~dx*cb`6^3rm3=Y(4^T@72v3KQ zN@oTD01g!Z08mQ<1QY-W2nYZGm(G#_53{@|fCRG>d!YvbFSE6RWqN;Ng@Z}yXh4ic zW9^gDQ5*f5;8c6!G6l*7L7?B# z?;P|b{hlzAKUqhl#GsfqRLyf)@R`YFyV*`pG09I0c!O#(e`4inIh_&c88uq|MW9C2 zhW_TX(%;>1Fax_zrT%{>!IDzl|KF$tQ-ttb zH=$hlmn~E{aFOnh8fU-2IXRabRa_0>k}_WV0`5ve%DdNknDNiH=d9Ne526NA5s!Ue>QyzxYqeCrQ+H%j?Xaol{02AD-=m)^fN@pOh832a{ z46?EyfHknQ^c)o5$AO!}M@102YD%2R9ENj~$#3fEC14aIFAV!vrhV;9_h6fmJ29VjDQ73|@=^ zoS#)!2wu2gXNloLVrns98q+boyb`8e3yaBTz;ua@X?G<|dlnW`s{zxcI;K}t!nF5{ zF^SIyQ@eiw(>@*3cqL5Hg~b#wV3Kr96O}OSUsy~X227JWrdTCR2No7n(17WnjwxOV zQ(|E;g$$Tv9aFLrrm2O+)MLPONXL|_gekqSn0gJEGCHQ|N|+8WET*sl(-9rh(Mp(( zEi9%!1E$M#Ovfu>y8Okorz>vT-7uY~Ch3!4`-8!%m~V|rsHOxG>!I<*-vy-CM({oI%cZ^m0d3Z^hDikRw$aKkS5 zjjrV`{v_VTv~mSXmMcmGl@d4M-Rw1xRmC+ayI@krn*8_U7W1oaFx?J^|9;$d0#y&; zy}N(h?>~tTJvzpE^7G-rxg$JI6sYkOsA`H$RLAcbVL?qo?r6EKX(d*-FdgQ3bm^?5S15-=*QV zKZF&#{3r3{VgZEZT~#3>XUd^7C%3Q z6P2Xbm6QIYF8y2$se1;$asSpoi>HAs{#+u|Vi4*sC-fQIp{-{GcCbIe89ZC#!VLa3 zgXdhfEK!y$S+dPgl{Sk8my6AUYEDsYmXdWG`$l}28f=Xp;mvE*7~#5HoP`V!3U{pt?6M3WvTB3>?7;4^pZzU(fOz7 z{45R4;5loSHqGF<8G2ckF3zFfmPfzFwX^{J%L@l=1p~WJ(e@dvw?0hUcbRekHn%AN zE^X5RELv~&n2RA;=7G>M7lf7)2%~?y%sJ)jEgp-aey_(;px^4T7V9_9qu)1|eqR~= z7F|E@;T8R&hcD1?^Vp2~b!n}o(p2ZnlQbKUMDKwa>^*N4d2u~%!R_RwMYNI{$V=DJ zEp$71wS(gs*iK^=IG+7I0piJf8Wz26;%N_&)+hL+nxhf`13TsKwGNU}v$Et1SwocUB0b1-4&&iu-5jZv6(*qn+UQ6~)L0 z=82K>#i-u7VpMNAJfG0<^txJ&c=WLO(0pNYzOWsh3sSfoq(^^sNZ}Glxk&n{%8_*b zWTtN}NPXoXeNKnemxFXK%&=oQ>c|TT85|)mo+X~x&&IB$o9R~FN*`enw{Fge%jE*z zj(NkaPWr|(4zC3)XB$p6R>hmxFHe9T>_IP{LYS`9Jd1_QI#}uRif7qTM_*7p>j-T0 zMI~fPsHZO}A=7^W8-1CDOgxJQ`ic@VZ-tG%s)WpI(Li5QLnc>S;*}uwlMwYHOCN*{ z7656$oOl4Y`IY+0t8aJ!x3F>Lw)-<}{O$*Emojcq#%JhP z%(`mnH#79BEd3!%e=KnHKhJr={i}bL{-K*ivvv``ufTti|5d?}|LdP+MJGL(t5LaU zIE#Ola{&HX&aDrJTuaz6w`A;IaM*)`kjaaiZ~}MgM%E7VX~-o<;c0|Qtm=Z?rZ&OG ziZL+511}n|0ZkY~Gj2p19zzJvXoZ??SRlj7Embyo59+vO$|m0l8@F89dDtei zUC|0U4sL%1SErR8YT3_+S&_*<%XtA-Zvd>^TBRysfdc}nV22ZeQVL{0#i)fHORx;f z;lT=pzJ^<;6rU_yn_A{m&Uiiz8`rMrb)pS~HTa=Y;`;_3!?*A_9@E-?z*9>5DYZ>2 zsh+i|UTc4hKCZMsuC*U5YClrc{%leE`$h79S|oq}*&_PW#x`f;?5xe%@k5xoMO-zv z2#<4(sG{%V5BLWClvdKSbegkq)$}oXkRGAWasdGM5pWJGNfE1h5i6q+tNIYDC=sjU z5W5``%Q=a~He$IQu@s3|qCqTNtL~*#DwR5-^6IZVV=3=O%0rFvGNL?1D7Am3*sfH! zm2xh!QomIm=9QOl<>^^@>s20CmDfz=`A~hY>tJ<93y1#$P)i30h;_AyBozPvwU@w> z0U@_k2LYQNlQVm20<&ndpL>}B11eU2vpjux1q?6xFHlPd2=-VhEryf8f+d%rEddpO z8^!hid$Y5|8!_II*3?PU1KRj$+G>5?iumIR}Jrmq591 zXbYvZ4Lt!Dl%hZhEmuhcw9wL0+Cp1e+R~f0lpmD;XJ%H?N?zF}rGGvl+IjQd`@VT| z?97{)&2#^We+vN0<(m<5`h)G^NT8&DCL9TP=NH zl*#0pzN&nzA^Eb;Cee+EN*#`oE$fLh*Et=#obI5Cv#aC{)0g=3a1Yv~!)i5uS%Ov4 z%)-1H8bPaC=d4<6&8?$2wouydob0w#`Kn~Htk#cHu#n_$QukC>qD*I63*EBXLomll zO0D)?w9s8xgKg7&=)g^An_b&iIF;Ms%$4Z*%#JFzY&j_G=ykgN7G}qm=}R^_l6I_2 z*m3HjgduiWM!{I+^dVQ+msdl7iGmDxq17o*M%}=^!a_+XkYu8v4m8;sL}3t4_fu}T zf0AsGW}qlwq18=v1NKx`<#0nM%2ufa^#Uz%I;ki)sj13K*)^csRp;!ZqM%M$wn`Ur zy~I=KcRH=;BvP)GrE3eToIYF1{>G&J%cPY3W0Ur8PT4;G3c6cn;9RFXv$CKXi>$c3>zGze1I?wohlVr<+ zh55{%J0)jf%e?K23Zy=1dSP>kO+MW2sgh=d)-R+78Yfq-Yn#4*1dGQoe_F?3b=l$O zrn#;vw^fqz>I-qgbjfNx|6txuv;+#4b`?)t)Hz39qPABR2DhB!bT&E(omkMV)>IXC z=Ue@=>QLI6U*Q>#Qbp&63H58{O>mOjs!0dyca`m(BX1h#Crdh3tebl>p#h-VibKZny15zQwTin^#MDzWmBDz9<@vLdsh1Njhk%HU_W&Kt1 zl0y5r^Y_%b#;|e?@chY6Vz2WYoa-(N1eB^m`*xmhnOQ~qyN=EnmwT>{IO`@I(zg2s zYM5Pvy2aeZy{VYm|<0#gar8%DY^CvSiPidD_9^35q1O z*Hsnz?PR&Nu*$omVqmP(I@{yVBl$@@n(xPDGux|wHaR!dRe82}H_vLExG+zacezM) z)l4bu^yAjbzSe^BG5+eZ!|RWhwQSgl*7bYl9<1M98LpC-^yTex@9rw-7>6~B``Ry< zxGi^*lh~KhqONTfovlh$U*6;iEMJ{jMMLWs9yw4lVKH$onA;NCwzP8>vH7bem+uZ2 zM92AmNk!L+bLV#D)u9@;9a&g1VG*(K8gsCFS6NS$T*Yy?->c+a|LXHr7rQI>aQfs4 zDf+queM#Sj*7<>&CT$$nENx%m($tYmsAI%8~srZ$lS0wEC@B>o?d^1c~FJv2>B*5DtHio zCS(^xn7Pk?z+cks4|SCULkGh910@ZC=-zP0T7RgcJJ9c`4~O>;^r|omVao8VD$GVu z1V6%fF|pYg33LQHJynrN_+V9kf4JSF!dwK+n5)7(gd774LcU=pFfu2f)$;R~3WP+K%sFGo=JMA|yL(|B16t3?zXU=I`i;u%~G9sU!K+-`3%e`bDmIl7l@xZ9xPZ|848%YB+Hpf~CEGpG_CL z`wR%9W$d7!sDu#mYta468$ zIS@in!@a(?{y;Z>D%GEQ0zGX910e(@(y2cj+#~WVj9-+^!UXgJAKarq>%%tydF1Q(kki}vObKR$$i;-dOgo2=>f_xD%MHc%3?YQbNLFs8_uy( z0Xq?<>GSY`P&C*RC~1yH2HK+o5r21$zq`9R7!9a!F018mINBeL_tkhsP_-)Vzd+Z9dBgp>V-tNN)o}$@*^&^$8QiA|= zx&WXHfp!K%9U25-2h+9>VPb|jOEnFIHV1nG9u-(+H*BcgQoqW>dfPC(l^TQ~Y=s`^ zWxcB>&|`rJLLM88dIHfvgq5+MSJRFm6{|rM2ADwy5bPP5DjY(vb_JrsRR}AKhI)O+ zM`oCoLI;4mCPa0Ehs{R5FeReO7&zdy)F&4oqTMTgaT6fU$u4_t&G`8zrg)(maI zXQ}xTxRiP4G6b!M(`x+fdjmd%=_A)Z@2+~EnlM}kat^T;VSF}z6+UU!Z%Ywq2e12U z(`_wHno(*dSC-CQmgFuo<1SCCion{h8l=U$NHUSP((Fr9mUVUl~H8TTRt8aNb; zTHx+-$3Sl~E|MIzyd z3J)PnPs^Ov$#N^ErHrnaw!{K4gs~>(3S+-%gNNY}!#qovq{2xAyTMX1OPHEY8S)m? zU;!*-=0A=wV?^703oY;jLXJVB3g1C+7*M!k4Hm-^ruON7QK?;GfoBmkqY4$C)1X2q z`QB)hl=>|2yats*~i>DKdUj|NL&8PoE^QE4f&z$*spnF{xvF*QVaZBgVjRh|3)QJZh;RZfQvwb zHCzs1RB{U~NF+c24b}=dc~o+XET~FAm|UHZvyDn_u?00TzK%RuE*qI^$Uq?hWj3vY z^~@&cXlz09f{PJmwDs_?du^bTON2vp9f43Z*cl8&Hb%m|;eNKRPhS)0uMmXkiFytB zdxD{Vu98eq7RJD(2%h?p8QHuSms@ceu0U{Pf~i=AKv@ASSc8ziW9Sk^#Z?H}!HB=N zDbQIJiTDpAR1}#o4%=S2U~{0aQo}X4)&@OnU8VK-_XkqmC@&gL0~0p!mWJ!G-iFJt z0U?*K);kA6o?zHh##SF`vxM38CM$ck&*2e&a|%ZWJA0L5lMPC-IYW0TdueCr&YXSV z2Ha=^f-QChx3Rg6IlKe6O8_9VzYt;ikTq7lzh_T}zabFyclz6-;mBbX&qc`dcSj90 zgirjBmV~AiJ|y(2!?E!G6t-drdo56=;TGJ= zkoxTkMjdd!3kWO8B9p1%kYbs{1DH1s*)W8M5nM^j_4pENcF7q`L!if_;t^vwh>^H? zN3D1PUWhQR-ZZGmc`mks3ok)%WU{D#co~9gh$I*KgcUExE7-g?+|v^dmDGeo{n37p zidXW$SQ?QFeA0?n;nfJ1mJLm{ZS|`f5u~EobsAoa*V*tYyq>)d>r&Nc9^b6gU=rSF z!^`m|1Z+WY8fJq!2i}6W+F&By#=B;E=8%bR0PnDY3-3h8O$!yO_-O=teHx8_m*Xxg zeg^O6VX)rrV0$pif*a=)4c|8sb&B`k=WO^Hym$Cj6KlyTegVPK5pL7tCo78T(wp{2 z=n8Ccq2Y17&xRM^m(1Fe&jJfHJRcroE%E|72#!I@Mf!k4UF zCYw=HpsS9B&QyHZ*o+cn?#&Z_R*c~{5p2zytC}{qRn^uuX&8s6Sc^Ex3VqgPuI1ey z0{EB>WB4r-TYVN-tl>lO6f5($?FxR!0Z+MrvV!F$nL~ktY_OP|ZIDkcptzdvBG^;I zOsGG~JThl!XreB3Al&X}4&BVdwW|)b2YOjj#qY5->itaF^9Yu%K$J&+I7D3xiALdz z_D!$+c(7JJ_}T6cp9I7W&Ze`UBTbGKxSiczOf1LU^=UwnZph{`p!$G25$Tl8+iVV zfLk>DD;qe*q$XMsu$|0oLfo?XHJbAiGN zmTKE#Yk*C8h7S3BV~lsZ53OJ$z@n`$+rUPMiH1^y+|<|^33yb0BC`=OjmDG_)k+j% z(eOV^86h^iLUJ7NvI|rkWF0VQBMPybK=>@MR3lV~<=Yi9#swDE`^FeK#eN1Wkr}yE zBjb42BW}AwCb+%QZ4yFi*8BWSR?Xj5#$L>N_T^>{yFA#<5I;*DDu>gRFQU^vw8QOP`R zj!70bXTFt`5FdiHuBu-2ViOB0HBv^(ZKQ;-=p<|Efj;pEVRezI#7AiLB7j2{k;OKc zL6#tpbxj(n6o5++av0zqe}w&?*1fpkM+aI?R@mTGveK-7)_oROp^+KH!x~7fT_LMn zki&XcidEl8V~ueru~Z{#MeAB;SIBx7Xz8sh!RNEUN{!SB){SCmEc~8r@iDg&cK3 z9&5nqvzbyBQsi#BByB1vHiTK5D=Vt2`{=K(`5WvCxzPoV4EYW-d7bF9K&?h@5%Ra&6>^6QoEh?B%R7B( zHE_s(qms|0Ev*K`%8%S_C3lg}vREtk<>wG`_0h2-cz_R&|HW)TAFe)6zQA1iMHcsG zQ*(0Pf2CDl5+5gDw!xF+D+oKX4XEj}?X#PE<8kse8@Y>o-OS5A3#`(}r$vPf+70!Ytcofz=v`2~8*M3VGCj1^G;qBQ+~zCl>hHB!lF$z#5G_E@Z!L zSIBoKou`@!SnCDwjkX0wgD|8{D6oczc}&X89S+6>Jm80{Z_ zaTGfnte@D(v*gt*HQ}?sT8%s{>h0%th5W(=V~5uHzM*x#kIB|)s^8MKO(TEdi(&Ft z1a-qkUt3eNPa}U9w0AhIw5_SRR3ra?6tsUK$PKF+ndH9(cyRf!;w`W8*1d?qHz2r9FusR3T*1(d!!OG|47tIXYIeCgloB9)i+R z-PW+GL6aPU2rO>3Ll-+9JWTn3>ne%&QPg?EKP(raDbpCeq89x%HbhX{AV4CCp_s zHrnA;QZ0g1y=s#ttrk3M5R~eFrZ!Guvq!v2TE|!#S7}ncU}?}<7-@rG*~pRVHK|Fk zG$)bjHEE0B*~)mD>NRPb;Au_pFxn2mvy<~wY0|lZr!C1-rAdClvj;(8jdWv;CUpo> zAlXXRX;PPBr4PY>z9W4es7n0^ z{>*pcNS!o8$;iE0gMc((BX3IwOa}^|1=eZgcjWgrAkukuMLOSsc`g{2KKnZ4@tMYw z(vGxgunzN>nu`(W-hjVDlP-mwHnK~)3?Y|K^!)q`kjJMCBzhObDUOz-P|3svbFgz%_l;2#%-Pl=`LY|^#T^#~4OO@lw$zE_;QkZ#OU z3NClEO}a_C#W)ktPXMxsLIX5ffk?L_kT#zt-3dDx%BRe@eHPfDNw@L$fONNAkv{8! z@#!-V{Xijm4Uo1{P5Qi0_XRT^p9MB*(!E06m+Xr4Wf!>0ZvJ?nx=>B__zOQCF`CVfvRJ7dD)v%qFe62Us@`*ub8 zfeR+5zm5~fa=E{feDC;7@O|69N3`w``A}KmE>B+Gl~Snj|I`(jV=L^d}cg9lHD~L&y_NKDo~# z{f%v!q!Za>Kzhe2{ayM88+qA!Vua%Y&4E5or6&DLdeX=T9H7 zop1j1A%c~yR`{A+qsXIhI~*C>1pJ>equX8dU|&f}k+kK(9t^f|R2#f4bSg z#QxG~uE@zl$Y(i;g}?r*QHRKP3RC?3Y~)7p6@BE{BF(2`n5MA_P0b%&6BDV_=r}r_ zX>uFZfGkIUr4#?Fg_o|~K_}bjBszutHC7KCGhe0Y=DZ(ys!t2)bf$KO4PIrsr;gB2 zRC*3V6fzX69zh(8Dh9u#J%$ zMg1Q^pe#hC(W87Xj9#eWGs3$U+ZB3=3ua=chQAf0%k2vNgbU7Lq<01BO1na@a=|R@ z)QFP@Lg_VjgUEpPcje_eAyF%}D!5qea zb-qyl8M{L7a=~22b+I7bV^`?sTu{tN#{}sMc7=Y?1@jo`Izjr9U7=rgK?x(>Do9_m zEA;Cwn9oQL2-1Ugg$}yF$4HL|(!+Lz##~U!NT&qp5xYX;E+}K9*97S?yF$O^f^tUs zl^{J~SLnB0umC$X=@6fa)2HkTecAT^oH)x_CMSLo|5s9>a%g7ho9LVxXoN=ABrN|1hQ zSLjF;)hzT<)wjPzGQ`j=gy@48?m zc51X(w5b2HEA)LARADC@P}?-Rn6t`YS7dZSHFj!n3vc!^WkcG98eJkdRJ$TuTu{R} zZWkOm2-3koNRxBnWgD%P^E6t2A($O@MRvNNmN9=yFtZ@SK|Wl{EQmmB<#8HaCfMC} zMV{b-Rm{+Jf;8E#$WvUfnpJFam-FD>K**!Yg~nY@PGjSNJi{tampvNY$crkUV^`!^ zE?9$|4jRztR(3j!$aCz9%p zMXqo`9d_E}#eAgBxLl~p%h?T$3@Z2GN~^p=t}+h6+3sd?_8KeL&bB>~b?gLy-x?N2vX@TcEuDx7g$r@>T?EFg&lfy<*z@ zLl$`(f^BDr9jFlL?FiB|=HZ=&OP*^3hx{FZ_F#{{Tb0jc$Fb6XBg4YcyKQot>_>2P z1ww&Hu)QVX@72$bnjJs!qSBGFTJAad$)+dGz!CISwP~{5|Zu8dBK!aqyXI#TePlI%zqadc5}w@}r`tK_JceVbzM*m%_|JLch>tKD- zo_dFih0i#DeS@CAQUBef|2FBr&HC?V{dbH0yH)>f;lI`F+7$rwf|Z?410r7u0Otb* zcEovZ9QFd#&+*1!f5Y6TL7w}-AoO`%{c~b)Fa{UI;Npfk-nlWjyk+hK03gX%0YDDm z2jEhnO@*uFPXd6#Zf(F=`Dy@|1`;T64txTxU|Sl0Fb$5umF#u~%!LNH3P8Sw9k51d z00&sv3T%`%U3WG%U6y9k^+}s<7|x~}|F?b`lV;ORNt@Nwevmq)ng8ZWF@2 z@OeOgZqs6rxthF_@WocweGZF5Jpo^3sKfwb;UJpegs;KZ*#!x9NLJUf4fxe9DD*xA z57ML3-C*-R1m8FgxhLV_R@aFW5P!UdQBuWGfjH|_c+`MmHJ}tGQ5L{sy8qHpkn?QSJ2-;}_TE1zSDv~IzI?8m0 z;;n#6fKf%B&X&fCM{%reEP$%11^;`}9n^S1=fUxvjgGR3LQg5yZyypzEB zX9DM)VR2@g;;hPoGcAepZUX1M1kSsE!{T^NaaL!+S((InKY{a~1kU@z;>rG3xF&ogN1kjYBKvT{FXj3+zsR^LMp+JRa0o0TYXnF$3 zGZe^k7C_C}fMzCuW(@_JbrwLIvjG()fV@M2yk`NlB^%J31W<7js3ZYYJSQ*TqYnxBu>KLwzVdHRf_z6Oz!_6*6>tjxtZo2g(l(u?L;O0yP#_72gH}SDVtSWGm z!{KnV>$keDRiJXViC%9Uy8!gXh1HRF0=^o<{iop3;j~XQ)83ubzD&1&G=C8L@-gC# z<3WHJo;O6RlBPA^OzZjZnC{Vfumbz9d=M{kOdG^Y2l3b-UK7I`Vt8{5Z;#=p2Jy3c zNgR$byd^rJKSuq(-iuNI6kdIXUHjB?iqYmcX0`@&vpRF-WWcY zSyf{UPL<7^dOE4+N|3k(v%E2Up?*#bU-~BgaE|mCz7oTq9tUf~+!+4i@l*K5DSRV_ zzj^==WEcbE@QmIy3V?hF`^hE2e>`aiHL@@l7CqAj3rb4U~&nq?v`k zO#b%JjH# z-L=RJ`Q0q#`L{QYA7m>}!ARx#PjV?Vl`{dTg9Kwl%4~Gw9By`tP-iaJ+wG)5VvUo$ zWcME@It_80f>+IdG&qwQ(wZ%C#Yur)h737{%Z*Dm_j$Tpfob>6#2oK%v)hQKR!>S= zJzjJ+X7y<^4U^3~8<`R()3bFpCO3n4^eVd*tXy07Aerrr5pRqX50cUtS(s`cZoS9z z_CYE#7?&9tXQeS3GNu|bsxn)cagEw-(iYf}1+x}LYP@lOvc_0D#unxtp@p&QdS_L2 z6C7@Kf6uh)8j@8v!7(;#)iDi?S*mVBoHS>vIwrT-FuvKiwl#yK)f*!_PLf@%gJkz0 ze%2c!dtxNujghVx*`G%55%h43>>ni26Xf6taxg~Hd*~Eiq)8ybWKdxWAq>*atVzc)Hxiqsac}93Yuv=)l9`@1|k(?Ou zI^2%2sRlbsRG!{ougC;31_!2_fgH=?f-Ajoa!ocD%o@oB*Jd$&jKh&Ky=ZvTi$*g2 zx-6z&?~Rk2vzcBrlIgc(G2QOSNtr%-c++Q(WcsatSxmpp8z-O2X8P=rO#gIJ>tlL{ zyJ?WzBNJq4#nrPX2(x_?Ni0OlAZ@-W8P392#UuKvcqCsvlEqhXZ=8H9o3DyT z^3~&iNv-SkE>S4Dgp@LVo+E#_9$^el6`En3%3}Ew-Z*(Go8|LHvi#|!*4x?65CDV* z$>~AzqQl{hksmnhsREQZ&M_3=hsKOahKwKcWh9(#m6!s39cg4X&Om;e=>}uyEM#Wz zYgydzGjE){kCSg} zj6NFTmicKNW{6vifxe?=1^87KxBS{0CvRnQ%lwht@;mZ-q17@OCNehl?m_Zr<`zB? z!E0jVZ^@^BB%j_*KK)R!VPa%|b1OBVj2$(KG=9{D;V7~JW#R}ZW-c?7WlDAw#eh=y-+62p6xD#@F`~@+ zILl%&pm>ca#UCe%!|1a4MwqgX6~^eZg+`bqA1jQ}X-h|N+SxY%ht&YHVg#rC7ce#h zOtlea)yL|z90SZ+Bh31bX*d%wngOPN(Fn8YV};2zz-%_cw0x{i%QL`iH^S`tSYhl2 znB7L0_Ky|Dk%A!$O$Sb?Gx-!87N&a`7^eYdnGq&z(r+SX*#u7E>QhoQCLK(@;kQEp z+qVM=%0Pv3*aiz=4=jXdVG+)Q#dtF;!S|qo?1oD63@nxA!7}LFPUR+)3%$RyTF4Zks#T z?QrLxkZyS3IE+0GuH#@o4%%_B9tY((NGGM6TIZgSZhbtt>(dIB58)SoFjfTuDi)|@ zmkOsp>I1;LKr;S_>dE6Ft$L7QJ-h?;yqea63Xi~Serhxy?tprJj?@8%AiR~~G`@OLSOe*0VzMH-kBxr$2 zunlHFD>TD4*bdu&;VRe$H^2^f0=604_OhAV{*a|I-uA|&zhtY7ts_;&UlUsC+r^;_r<(3<*IfwSN+EuqhRFm8n=3lfsLffSt<68QK;-N zs-%)Jijh=VoMI1*LS-OLrD6jY4Htnw6R{ z7?D)jl49SRRi$bO7VdQ{NDCGkGK^?;$J8{TrADE&fJM@jT`B(Hs7wiFGbNa2ip!X> z%#cx#7STxB(VpVpXKIHr-v~4z)4+Y{#WrU6402PA8MTItX=$;Lq=Bna{QE~RaQ{#P zjhP1X=mcYbhS5BaF~exy%(NIv(!6krzuVM2eQn1MVo~oYIyZB4TnM=Uup1=s!z9=P zCD0C+KnMH~0$2o{cs_LD^RSn+LXf-x`=m=?KP`mr)VM|iCgU2r1g^hirs?nNpC`sO zIzL85#3#Kd>r+MPA4P}jH}+x1v)@GLrWAj46gs1S#u}7%0rxPx7*oV#o^&@4pdgzfdT6>Dt#^{=iCaP=hHfX-w zL^Ii8Hp4_%2KBHZc{r_{9ZuVjb~x=rkdtXuC0kWEZ2{E+stUy_zLGe)Cfe)8Ai*V| z!ljT0mw_KHg}rb&+yR#+kF;$7g|6jC+Lpn8L|UgGY5S6yXV;lemFRkJoNmZARl0bD zsS^9xg06Lgexz-tH%6O8AkE;!E7P5r*)Fa$;LJ3`X*O-ATQc=rHB$T9icPwnF5TW` zViTKgGgy0-L(AC3_QvVX#JM4sU%>L|?gxj#UuK5yH}eJce8bDH?a?FQ#@NvWj_(8=j++0ABJamw#u_pJ??^RhdAj)-Z;H1c?4^WcmdGeLiJnTI6aoq zeTM6HSW?*k_QvVeDTeP021k;CxZ?DGdOiQuhv7#ee_ASkN}S%Dl7CI)uT16Fc;oc; zl!DhegA*=FacqdwPp35eS}-7_7>;=3^s_0Bw*&_f9PG6br=L$cz~itP9KcQ=(fd-e zZwpy!(0;u)PQRMs_>17M7&vb8#_0noj&}ry!@%*NH%=c)al9)yrWrWm-Z=e#W{Tr| z!Lic7@q{-{A5C%~at_yrDTbeUgiCtVs?QTBgqm4lH;W zT{=gyzS+=yl0Mg(FWq&5o=&CiOG^!=r5;U7J)M?%@dQ0>8jbhH>Gzo(KRhK}`>=F} zu!H_6W$9hsIDIu$7PoHcxD>~Kecm|zd5U9-&M_&)G3brcU#2(;b&jbijz_(5`kNGo zN9UNH;&|E{r@v2e%+fh#rZ`^o#_69@9A2HHD8<2Q?r$lMVx417isNl>oc=S#QL1yy z7fqamy>a?pvWf3@2>&b*5*xXS%Dpo?Fmpg4nnH3oRJoW*20J9r`-`yV2q^zOw+0H`O0Rg;tOkfF!@7$@s$r295s*?42oETyUoevoI>m zjbNNa&@Dg8h7Jl=9SQC3;ZA?2DXs`W>WL{+$>JtOmpx;lU$_BHesqz&z@J2ZEVj-_ z5B5Qg9)F4x}%DIPG(4dUxqk>W^kXLly~QI+WQV zd^T(|(uL=ve+0*mzq+J$z&L63qPFU2v@JFeIW=yS8UxP5iTROZHl~+x4B1r^8a!dX zK;imAY(vWf!4}UD-$SX7gQY~GoI}L7Lo4nm=;3s?AaI}Adk}EjG0Vn}>*pWu6ikw* zNBH__MN!ocsZ^%Gz3H6~_EsR?XUONDfphso`m4XR9)=H@AXWzPz9!cm>Te5}K%uzx zBYMp|Epr+C5EIccWwud)(85O}Z0kqF5(||x31?tOLF`B2GNDZrzzfDZ3L=Urggy$z zk#7$y_b2%W4rMlG>nZ6kU$qm}IUrh*hgy<=BfkKns+i!l?4fQ%ce;Qg^GW-lgaf_; zw-eEB?xDWD$oa>V7tGCrMrC^o@@WajENxS&%15k9=&Ww=tV*=4Z#M`1|;1u)#L@QYq{lXUdZ!Yd0hu{=wRZ^=^3(Qs}yKjkm16m$ze_G+A z3J|8s+co|Ml71l~IK?73P5m_Y@?(2URy5UU``-73QehtN;U4(;hfYrpq@sGal78_f zI2E-j`InviAtU{=O>l}f_s)`f@4uRz>}6TP^-?R$LuF_IngLqY8Zi5*lKCBsOXm9% zEX)FvY1M$WROZpr&XnO6lmXI$;vmXR31AA{>HdM|3(1}SH<*v$2v-RGQ%4J)0QGOV zMvQp#ByKd_86P=;GG$7c;);1BXToe+zSC|m4(%! z^)bm1Qqs#2eYKh2qV+tO!eT@yDGy)Fi<5K}!`~uuAeqEg#*372wZh3l zn>%@vTFUDW-s+*5MQd8}rlf?IAL{BUzyc04Y12Rog!?LnD=Us0F6O0=u&PDY(8k|@ z&(=`K*pNxz5JcN>g4=*%Y@xq7s_aQFL?7a?jj@`~(x8q#ifTpPsUCiDhS#v3KFaDx z*=ZYlG0fT!%QjlGLf-ildtt=UkP0=5N=4oo7k06R)u2E&deunYc^G;jg3&O6GJ54m zjI$f|Y<@b-+Y_sAM| zw;6X@ub#c}pPGF>3ZXx2@jsHuKUS$ehOj=e_&*GJKPb3A4mmz5*ghOtJ~)^@q-Z}3 zAwMSZJ^)xBnz$d)7=Z-&AjPje(PW;KH~%0||Fb1)pkug$fCmDCC@rHu1wfqPW(is{_2WoRYB%(iqDN#HU)P4+|qK)1HJl!6jg_=3ayRAEo6XIna z2K%1v&08L1Q1gidF1Ez1!-q0igymB<*{&P}{noSoGJ7V?pS$7?qQ(?>kM$$i3KJ7* zVCPs1FA4G&cTlgsctIbo%v|(Cnf1=w{-Nu5+c#9snFk5@Pfo>K^x7@+%CHlYsY%n8 zG;^HmyI|^#N9Luf%Nz>OU@ku>N(RZ^EJZ=*in)BcY&zc&Cgb33X!)Ok;`-I&zY49f zvfF_LYV${?fI#s-@}!05QT~co6QgSe1(hW8iHrf}F}^xLW9CM^QJIvEz@{Xux`>&U zj>;e|1Q4r2!A{b=ZRkucGu%*!MYvgsr8@0OB9mToX6e}A2#f1{oSoI7f#jkFS3~qy z*O1yy>ry_1^I2AK1S$eW?qr}8e6?1^)pBMvqxC{n+C8e~SV=2UgcCe}#!R#LipWIC z`dXDjpuXQfExrzf8j3OjMVnJ))?-my!b)DeOOOD0A>OfX9pD&?_{fw82v2*y#w?#y zNrhJ@2Nuv8gqr5ajon!3x22n^N#P9A@kKe>t~2 zQg($Ej{SFK?4|%at!Q|Bx+Yrf*_|3%DUhNGnONnNS|5OKZAB_#RRD;^>#7}CU)B=)+*gtk0YX3vdC3|@1Hm)LCB<%9YGP`EBrl-ERj#=aPIVD zrEx9UbwKWL{3kSyjBsY3YKZ#OA?Kg4$<3-P&Z_)x{0O=>q|}92;?p{ukTujkRG@}3 z`$iZR@UXT8m#JgJ?#|(|Nz6^r*PF#kcz$L01zopdUkvSCyB5y}2d(87X%80XBPz%m zil-E&tc@K(jOD#U=*NZp=vj%e%TC`BYU+(3qAzW@wd5XNbm{9gxA%$i@Ozr12H`pI z+)_8%o_4zXJU-QMfUbnPo=#~UKG3&DpHMiXuKKGP*eWCJ&mE5@`e!V{tvHJL>-ti; zeUo84nMYfp0HGIv|JV0@*3bvp8~k+q1SF!{~+{Vil8PEn0UNn z+Q2C?M2NOf^s5>yw(aqs4&w%|%4o_vRM5tUT2I6A$P0}xRa?YY&%hC1*;E|GAL?0rWukNYvhJ7@Zly_Ri_>XgDy)Un>NaHPyj*^dhJ zdgdo$wMb~sbu2)c)UL1 z+--BPP!~B*>2Qg=>zE=|!2!$X;73T8Jye8(7SuC1BfLQTpxAm5dywt>$~hPaiS8qt z+?1k?Y+t1xk6D3Gq46Wtvbw2siJlt#lBO`OMq(I&e&Zg(Uwm%0#eG1$d-P9YnaAao zndM30Z9LR?XZcg3#ErGxeA11OFi8+mFCD-1eUrFNHuvGeEQdgA;w4H5UxnTHmAIEQ zhkWi=HQ-nlR6`*rsACYD>0buCn)p1nIW^VsANl;9tOX=#`PD+s?wK&p61asf!BkbV z?6Mrrs(9gkJhp=JG_(Aj!|BklbX6Xj!pSpe@8@j-a9w{tEiL3lFfw^xe%_lOiQcm} zQThG_14JtB+5N>lKX2 zNC5 zCN1fvEor7LOZ~{2{l9GC=e5R;8ZZ^)ob2^#KrYsC-I_`|UM+euqBdUN^ zAI-gfdh+m5bNtGo&1Y2r{VQEalsSq;(@q3VsZX(Hj0jp_M7hE$v_b&&J?kDCghF2F zJD&(C@y%CptQAoh1d%BCpzcAbCKHw^ad^-%h=TtX4Z=F;DHy@G?G^~p`gIU)7tGRz z)4FTu!{@{_`?x%VW*$ASK=U$7_`J_^kHvGJ%JUbfdC(h9l(?@Y9Q=BVO*)k7gZ~fG z1pzSmD=+GSs$P(8iGFZAR3`wVO3|#@VN--m#J~GyciNh^VET!VC`_5?1MWZXCd$1~ z#9w1G$ejT)Aba5!T)t=$q3A>U_=_ZZFSR)x+pi7#8e$1p6AF1vnaTGKK+bGcD`^JQ znRMK7BlzG39QjqSnvFds5wSeV_rn_*SAJ*d7E1+w;=mm|l5W52$DYTlm!pDzdhb+0 zsZVee4izv17SW2)X{-cgc^s1Hh0S$8^c01XWwq~%K*N$g@OSh~1V?)uRX=PHO+ib~ zsqCw{!-!s#BiaI>G*QEd)ShNB&ZlN~X3-ed!lZvFBZfAEF;X1F)ti9-eA0FvyP`|HUv8wq) zUdn~5gPu)QPcBgCzc4u8-W3}Hn}xfz?@AYJlD|#3#YK8vKWH z(c&|BD6JP?Oi6C@a3f?dY>+I5=MS>%h~k=M=HHp!``4vUN8+AcpWT}Nxa#9TgKIo9 zzNdjbE%S$HxjIO&Fvy`>DYUr_Tm=1K>|m>WTcGdf&qWoOl(_h^rX0zs2In zfHcZ*rnAaSV@_y^*NQIk_t*Nb)_xIQTwObhe@3!K=;za1#8~rPXEZ8l76AV$k45b! zh0&AJ_*}v)QZ`wha|*~M*#lXNfYP0QduVSNBLp^J4)JpbF)k0@dL64QHC-x6yIjsG z#0XtQOkvkY3n)zJT=LOI9EHVWHrq5`kf!&7HattCqB%|3dV1%4kB@V65@N(Kk{r*y zq}Of}r;Qj=ttZxn~|GOEL#Igi=x2`2(g; zL;k{Hagy#hB*`vL->G>5aRik)09k1J&B5P?*YB!G9gl!u*~Jh{%nk1Mv1)81AZ zP_R_b&d8j>kk27pW|J~(?)<}})&?VF>i+jhc4cFnh&6il64^nNco1F?9L9$U6#DKo zIfU)Ucc>ZUy?yjBZ@}+9D9D+4Z?xC0>CL}gs1?_ws2d=u2`EWxN;qwor*2zT-}Tir zkYW@%B>{%a4Jkw~l=Cnl#OfxZ>;0Yd$cPse;Wq5#tY`M1;N&cc?Lh?h$gFWGLD0@g zHtE+)-F~^AES1m`00 zdK;OD0RF-L=Q$2N>O0v42LVBd{@-g1g5Ci3)Qxkcj8<;|8p!3Rt@an=Lp;sRKYW}7 z6Av4|n}XrtDwi+I-0~-;n>VtHk&8lBhx2T-SVk}-9&>r4kW%@6xco|F~KBPzen~cis=rG}QJqEmS)^BJ~>8OKX0{ep=IF1*knLro1WiHy1_8DodLuboDe8Q?#t zZCh(~6!@D{*HSZkE~WYMD!S!ul~iGvUa$OgOGQjE`VkB1%Y_Qci2Jx0TcU(yPTzu!}I6xqKw!Hj^%xM-FD_?^?23yIQj> zZ?@_b=N5X1VfR-OsI1xev0BDo=^5Vs^IJl3W$SmueV?ecl`*Vo_1nu~pZxL}Pj;)WFPYQ7(FiI?3!QNg4_ zT1RFcR)KYhu3Io7^d-V+sRx@#_dttR?vKHm`q*@GL4=yM^y+y2l?^q~o8mM=)FC?J<06A)?=I&h@8aKJXu?tkJg@jAjB$p1Rht zr#6EqD(QEl9mwPumK2Q~tp}8c+mrWHu<>X9NoJm8wa`@cs5RnhnpEp`-0>m{?*<)0 z8~TAeH^b|v71=J<`TbDOR#i^)VZOjk=_jbSKxwl3l8B0hT5&3q$6Ykti%+2Z@#0iG zpX9!YU^i=qye`tzR;XON`^->NT4h-_ZF327oo_Ya_2CjryUsDNfBcP>>bfO=t4N6O z%*nH;5$NaAB9Y@8R!ddU6<^tG`u-GF{`l9E=$mN%CFTw! zBy^gh-JDyM?tJcP?f%oDwq0xHE-lG!`=;Sg-xG(vmmyPXS@Xkp#Ew@}U3RKdb7Y=P zfrycM+-Rb<5=LK0VbT$<#c_!aJgetHvaTuRy@&-1CMkRB(`~L7{^=dZ+Tp;)t!p-$r+*w(Aq(cKg3YRwjMt2HCIx0uHG4k0dnCx+n_u+C z`-o{4?zi?FQoEAT+8)uNJhMTDTaLlPd8EOXg>S0!mxHu-R=)YdSNcJJ0iH^_^C=pt zliq$fMXi>nguhgq&$97n7_+e=Myq?BiA5vm@AdP^_XzdqpxYHuf+V2($dxN+Yj|4c zfL(`2!S$yn#Ao#2i?qAx>V`%~K;l55@2-gGS!Uuk6;U>v_@8aBJ3^^F3SYrrE2=5P zyU^y$UI(J9W!Iz2y&i{%Wak-@_;RIc2!X$aNIa?X!U)=5-yoa#SuXe}B*G{}LdR?} z&;tO(oX+k{2HShbl0G?}fwfh@ur}2ClV4%GNU`+HFLnK4qBg_-K2yQM!Dr|%5kJB} zK%64~_xSuR06+-x(O7&A+!mV1BXHtOMbL*w$E0INpdPS5t6!rI8m5I0D}_%t;^bpz zSIEq&i&|Q<)3@cz}JSqvMidD_Fabk&@*sqbiy*Ew-5@Hn-h%b67-NR)Zcy&Mpu~tH^nvR?}h^1#D8#@fblCeypCtJl+wo6{>QxWDS zowd+`AHsK5PWXwDrX%2byqK|VlDz>nntj^%wR&0`!2r~6NyB^2iIqF%Ox=5Do8XGhAI zG~Kz45=sWhxQEgudJsn$mL^9-YyN6uVHwzJDVMg<``_!WBjFY2)JnlBJ0|f1aeb8s7~JN|UXMVF*O|Ti zKP;9|krR2!3XA>0YimfB3R&)lJ$F)h#W)HH^7f&iZrIt&W$N zAGC7rj6zzDY};#T$ZVpt*QZs#8g$R|y76XtXX@?z{(O6}&ugA@i(NSJayoNdStP9b z;(Qezyvr)*v^|)S)k;2?)J?3clqaaE~r6 zm9zX!cLDo_gKgR{&xFt2R?5cyr~$%>Dez%s1RT6q&xPuzPYt)yarK5gOi@m#!yhhAEK{#S+u_ ze?;_WSuGd;AONrhErxk=6C*L$^b3gVF{v8%vFhcFnCpX8C+Ujwk|J4+Iz3(TfDSGQ zYosuFCvHVhn_)b}i$$pS=!fQZ;_-GM1{R8=%!O9lyOapFOs(IrSUrm)?@m6$3k5?QY*%dJ_^J`%x+z;2Xj-xZ1z*`Z7ND=5E z;_EdavwRki@bwyztEC9m5b;544akn4B_#Z{M&wH=0zpK4!3JcK&jJ#@U?Xy#6hQ|f zen$hc;b#E}zoQX(b1-*v?8(PWk|-*EINJRU#mZzcA)7sk`f zmP@@6>O1!h-Wm1AgS60uz%DQ8h7@K|hq=dzCLQ0VWjJi9ju64PEPN@lS=Ppo5(7Be zmiKd-$bgqodYO3wrpHQGp%dzw>IkRbf&3!~>3Rsx-OU{;Ee;LqinvbNNj-I&i>6bx z4q9Od`AV3}r&pA-gd9|Oaf z4ClD$+t-O2nVjD5(ESD$-Prz!1zx)%{t@)^ja##~nQX+5&6t6pU;rO7?qteP_iyXW zE^owGCo5wQQ;Z&sABEvR^D0ea{QOZ1yv4xF8(#i-KktBW;8171Prtt?^;ua-a;TjL z@N-o`?d&H=FlElUbend3QI@eh(Pxv{vRkUPOQYu1(0@Aodgs+iDDdk7&MI<1>vH1A zeYa7yb(`p2h)+loEGzBHo4SpaFkhokot9F?7sSAjf|!SYy8Tx$(L+*}@ia}dHy8zR z#!;{S449jpfro=^xAt(AIQ}rkIN5>6E&Gq88yDdqYO6WjgPUy5YRU}KdP0!LZpIUq zsuN|RovNvi{8aLO>MdT8@S_FSkN3&w-o5L=kp3cV^SmQ6<+d9jSY4KKe>=<@v3%;@ znC>I=Uo<~&lHt`}>kcVQ8vi921Rt^<9h1w&uOt?Yi`Uf@gVkYDNAWLCYeumXiFLe4 z@^f$P-f$T1oOA%^kQ9i$78rC$OlPP8ugx!ri;x(mzBk;wVZ4^j<=5xdVdycdE=Y_t zk$Or)T=;Z#UwY;L?`sc3e)wsOOQ{R%ar=$-6x+tXA5aR|!l6P2No}K!*3EF<=xHBC ztV5+nIy$LL)MX^Ne~r1`PfOW&>)pYu4Ijg_7)max)7)n6XEb$1WkUZhqQkLT#sqH>0o8Nv113a5DZ=2q&gISy8R;rj;F zKa@8@X<813_|1_lTQI!#T_`(Z9bTunn!-@MI_lC4Z7t4x)UZ}HSTEB9qMuALs=r}_ zYD^PjMe-FiO7MKiE2a2`u_}Vwe*b~5B1EKtPwbr;d{+D0;nPF^Yazp<&V!~>COFbQ zJhmDuT1A*JegyLUR);g~eyrhbB{{1kf<6I;oqaA2W!PtJ6R`mW%t-FrBHQ+ZSs7T*7H{;a{_9j}R z-So=80Y}hbcYPu%DsFhqZ&ICv$o_&^VQV>Hfedd!98b2bc?GxPOX^=ipAYAw6;M^C{DDT?<@Wr zW6rnhi1`cdUjmmMj~}~S;Jeb!d>DJg;_Y&plW!$C{bgf`HJ>EjW`~?_Wf1WQ#jZx2 zgg|@Y>R|^GJn$C7wEvmPpo#7FCu$H7*%G)!!Ln9P`=|0Ki}~sILCmJZk-GTZJmMC}B=dKHq_1GQB7^^M zR60mi$9`pId&BEv6Dfne?i~3HgxM0d`|*!=#ey#bv6G^J>-}%4nL%`a5Bnk z>=t*DeMS47;a^NR=nT=o;>A3>Q6_mKWh9ofBBZS(YPlzN;P=H3*{m@FJ6Kz(QvU}lVrz;5I&hI)%t>@PSboIDb()p?{&o#6<9M4mO|^%y{utN zhZYx_BD===hIQ}@mYnm_IXuw#X!UmzLnY{CAj#DO-%>&5NPwt0$ppqESR4Bt!bj>9 z`TH3__NQ0@h#m=22en*wnnG>@Kpcuw)Kh;(9n!ZmJ}lRSQvD+AP<55nmo0tW&O~=R zC-IRVy6|Jx&&#N zf|6n_eYou-fw@`%TG^4XqMxE7RVjP;=eOi&sa9r$EaA4-7iM~Sk+ucV3z8sgQp0s7l8Q}Yf)k&nYKkSC~X5Y*i6qhHj1bGfkzokZ>zMOJk{D+c2qK@a# zk$Lw?NkF}h&krYkhTfuSk{6K2J(I@{#RPp~683mhG=}EYy!wg~7kRc4^?%iN^t%Ze z#6Rx0?9=@%}n|OU$DwV0Wg-qu?U*4e)1SMUltba>1Xu z_^5`#?rKU^ol8^cCE^AH{c&gO{?`jv9WA>+Fwaut?G9?}}+3*BQtPwoxKeF{ln?shf4@e$VC<6vX4C$Y%;)lq*-9f(}= zSUavB2zi}7I1SwOVK`kJDiO7n7v+mz5DMP*0j>LB0-;i${$Llj2p4Xdi5K*foIVf- zVeJ83Ag8r_*n>ezI|7O3DY+$%DWC*|^ipfp{o5$rx;<;fYS+2wMA@=669BgtAK7XdXC^fkjH)1U{O~Swb%6XAR zy4x&L8{eHUH{yG?X%d$MWYC515DS)$ zIVe-#ONSGJm;3Y+mB0!3t!rN0fGb`%31K?qfv%+(%-%JSt=coJWjViiUDI%Q(yG0R z^q_A&b6ud^+Zz>_Q(;__;`wfumRr27dN{i5EN`F5IO#IQEEhnUGV`TQyz7{y6m5AQ zcSD)%*oDLXWZ$zZymdZ91jL^oyw9C3o-(w(|3h)xcI9`A)R7y3_k@FJ{Dg_pqZPwp zmq_G~BU}O!&VA1P-*u!BUm}?^y6bww;AH@|HJN7S^HFnOEEy~?PEGpVh$X(%3?pI& z8im7(0SmF{>DyTU);C<;w13)hgcCyV105D2JMW( z$;p6pkp$k|8y=u#CPnXnw9^{x?5h{MdBa-H%?F;c&YqUi#IbTsZtZdU&}A!WlyE5pe&pBjIue z|LTt3_q@$I1T0{AIR#lF0WVoLgToYdOJ`Z=f+q4@F>Of=LlS55 zy?QCt>F+VcM~|eASh1H}gbE?3QzM<-L2-?FI1~orGPG&6vZvLR=B}*PY^|55sJ6v< zX#)nVo0chjC#5;Qd{>`IQ-O7tY^%j?xwkuL&`BSw#~y>n?Rb-QbdoNLkZ7%6I@aP_ z$dzv7?hf8VQiUl(Z%L#g9`RcPe3lO`&sz-XCnzD*cv0f0`RDF(ToQw(@~yne8}(q@ z=v}BwAM6fWJl)geFhZcrM%OcxNeVC*d<*BIUuHpW{Ajl6m-vS@QaJuU`}Gz~h0a{5vTr`;-?xe#D)X>JW_1dG9+bAi?QDa4#=OB4EDLl zPM)6w4XF-YQ>SEJTej+cFICBobKL)hfQ@c9DciH#i91*)f$WT?$9adtA1QGkRPXVM z<|FScYT4ADsUVr7Fn5`FyZ`NV|MYJt%%u$CS^RzeQZhdfTFzQ_-J9@})c|X+!oiCDUKP)T0KhOBo>a(0B@FM*CuRs>=cD#Oy|9}!& zKS2pZWEm(8093$625|#`8vw{q{m+3mBccHy2Jp%lZ2-^`{cl(oA;kawtY;uJ0%QO& z8LEu{<_wKy03jqIONL7`KniAs8v?>HBd`rXp0W0M9%eoEKXP~5r*5*A&w5p98A2@p z3CQBSjGz_(3)%m}H;6(&VEu0!5c|ddX_?dxpv<`XYzZUV{vUa^9l(+y*!n5E^!`UC z>G;$P`jnxNhaoa@TLEfNY@-kvx2*s=;{PH&e7gLG&>5P1KmQ5R{(zt0+E z|FfX|8QA=PYBbXVAly^y&k6s_KJov%G5^0Vcwo+mZwH7%X^R6gc2WRj|5ZQZqy4iV pL9!Wy9iRP31k5n*0H{NXs%A8G0LW2^A&4PBpVz!%0{FD~{{iAX(cJ(5 diff --git a/target/scala-2.13/zinc/inc_compile_2.13.zip b/target/scala-2.13/zinc/inc_compile_2.13.zip old mode 100755 new mode 100644 index db59827f9fa42c1c9789bd3cea64a98d3b1e30cf..ab2e92457e34098c4dd6081eac275feeefe7a1ad GIT binary patch delta 21534 zcmV)nK%Kv`sR4(p0Sr(}0|XQR2nYxO8B382BY%0A6xFq-W`^mRGaELzO?;a8O?>%G zx_i1=WKmyc!DRe~#TgKN-+Sq==&qS5=&EX~s$mB8ML`7AXizbNJ18g$C=oOWih`)P z;0B_^C89>f1&E44qwl-7ZdKh|UDMMuRb%pL{u#P&Rh@h8x##@OQs*`$T<|Y{p{PP+l9HaFitVCYlN3^X zmym3dMZIRKlI#lOJA>ubP_pyT-e)%4G4HZ2XofL8la>t94T>t%)bO$**F*`iit4hG z&v(E1>A{`%?Apu0nJ_AEMw=u-ny9FHlYc_dIEx6Hb!GHW@^H(?S zxbeUS4w~WAycgUgYr2|A=%ON9%SIb}#h+IE?WrwK9~cNtFtYbLLoqgg^YWD|_kUe^ zXf~H0DXDu>AKts{z}M@q-gkh5v*4F~*o$nPTl3hT=iYYhD>rUJ+~vOoHc3imuUvZn zqW9O%d-69h9!|@fyK#b~s9i3st$Y3OUmlxxVBVHIwL{8b4hvqpbNiv?TVMEsgGLzI zD?_EIEWPUQ^PgV7?W1crXvl|(mVZSBiRsa64zAj}deP3~^TP~^h>MW!{NUMTFFp0r z8_PKu3&ZoKW(b{PYWeo}Z(4KH%6m`Cj|>AB6qyg--S*7Q7d`k{X?_ZtrA^rjd~?x7 z3*TD*(Ie|Q7?+P$F$;9iLmXbYeEIF4Z1{R%B0qhXx`7--YR9`TtlNKJ-+$-ra7I2d zWAvR`;r>_Nz4_qZ7vFzX@AN#&1QD(GzBoMRfjd{cdXURcxm-|?qW0DD<@#Lp1qu%fm{@TXV=eN1$SX^l^%L|#q^ z=$j^_;&|>6;aIqq9tq)*@P9O3#bSMF?qAo=Tk`N)DcA`ey_?inVTzZnkK$C7SGyu; zns7A6j$%=SqcLmd_twn*!+{%iFC8D0;D7U1%Il?bo(p|g*NN&{qEr}uART(zE8Q}p;a8*%=KcARZqcWpBx;l zF34Y%W*7JvNcTOy@({3i_fBv_L9Z<6BhEui?;$$wV^rYL*XB|usc-6(XJ*m&7~6YD z?ZJIR4uHtUMzv4jr>Afe)^L45;PbuZVxD4{To&qv_#bf|(|;BZS&D~Pw2!eT+{E?D zrzw3cBlI<&__{Y9a|idG1gPI(K>8Jzzdzo=eRqs~H;KSET(6w#_fG&hwm-{%e*ad# zr=S0VOM2T%Tk!Wg&k;0GlDw+P^SCxGf{{axlMg@B@kQ=+C$7KA~@SlG%3PfYYG@)-^lgn}^)N06^}hG7lanL`d9v!aV2 z43eBY_NtBtWf~=&rat(dBVn*{F-%{~At^Wf9u7xHS)!?sSm8-7lIjgcRzU^f)EGW_ zRJQbjKYz_0vu3vI0w@2>h2h{}m%)>dV-(P#k0;l=N-y|x7~(Qq<9hSSKXyn8EtDBJ zxz@4r;0aL44AeM9^J9nVBAUzqS{J1{4oyPx(cI*dHAvmr=ys<)h_=gVkinl?H`<$% zeH*o1>?B!(v5SAq?$?16pcuH5g4_TIKtb~q^nalo0uv@i<1MXG^sNkv)2R$%h8qBb zp;G7+;;PUdSKHz<1=+ek7H=9i8GWk)XjL+5LTDCc0Syd-GBFufwP>7ACROr4UGwC4 zYfCeEKx~Vr6LDGS)a?h9bS$3absmkBLJ{Vc8vsL~N)jZ@!OW=^#bV$v>qkQ{8=cXG z5`QSHYiuO9){*7LqZBuF;~PzGl5w=iwhUff2^6*p=MlbsEp+lpAt6e9iqJR-%dP|p zJ8LktQYaESMZAs^pqkI<%6MK9T7*PK)x;S>s%xUEBr^$oLp2W>GO=M);B)2JKlYidyr7U5swbwL&RlsF4NRt1n$;u)D&yW&4V14lqP11`qCoPT<% zy(FYcnt<0>2E|kA2=Wm+w* zG4gF(rn7<9Fk=7%Tg+`nD^cPXkbmJR_3?=fneJ7LW=`0W=^H{z(8YDWiom?gXb-S z;uBen8`BWyZ7?(?-C|(mCX3A{rS!DZ9RfRTD79>H|X{j}hYa-GQk$)`~WnEBZ zL)#76oPN4#7PIx&LqKlYrl z@iQimk2jAUPa};1MCA}bQwcnlLj{y1G_hUgQ&@wCKouo86XwX4w-V9Loa%A|ngQ!X z0176Vkd##7zaev?&6YL1u746J96tdA3cx@F`4(hgJWi)F+AtGcGIG*bpJ`H2b2}@b z1lhT%{^;hGI8kT{ttng~Ix|%@4FsXH0l#HXY-9ms=YC_WsOdrCQ^^>U5SZK8Jjqnq zB&C!HFelZuOhU59idhE5MtWgxx~OBh9|?m@xuYdg*4juuRsm2x-+#Fg<^w^fAcMA_ zECvqiSO5w}pJwh57BIj-BZecJB4WXoLxAK^rurIonjyEHcG_v?r-(^UR9Kr9Et@U| z?gG>F2?`)pjhTCflMmvykhY_nCMm{ngCeDQ)dcPeC^2(7TfMLw91N9|bGG$k%7m6i z(+EnTNF<;;2+F2(2!ArQgDBWQ#@tCbPLn6j5L2efO~M=>1A{5Q;9zG;y=?&)o`*n{ z<$8WCq|!!Aa0HY~{B*$x6AY6+8iMBbBk7?v7>pT<#6G1Q0+jbDg(8%^QJQbTlF*qJ zR1u+)LEMaMY_+oY$)3sH=V?UUrqst<$2ObtqmY3zsWK=w!hbq*Ux%3j7)o?xT&9zT zcEe7}AwUvVa=U?t00Xf|O`|dbHJdY$n2M>8ajipa(*@Ie*QqMsWqQ@d#uk%x#Lft7 zn?+e~GXX_Pr^JM)8wi3t7)qK6gDpZkde2G-gfN3;P)tI7)3cd6T@2i*7Lb@z9BXCT zV+2%1!GP#9q*W&Q~^-YxCKhKVNhHd?!)HFM7$V< zcm&fdIH915j?My5U^skprwzvzgbISW#;Y(3VA|V63)q`QO>b6|=^1Qy$HCEtPK~hv z&t}n%EKTQQV(JJ->_}@oiA`HgBp{xs7`UH1`~~Ir1AkD^io^R#DAja6l`{PXz`&Ul zW#shFVL3->!x$V*+MFYABb%CrtQsp!DHNFt84Q(d`Gy!YQFpC_m&_eDHq?C3P?(Up zTauKqqFpN{Ig_w3p#?`L$HEXa7l{O%@r4mZl|oV7_{OFz{}i*tS_Z{Naf;k-#(Cus zI3p#d(|?9OR{@yPHQXWs3XBg`00||9T#aalWfP+$j4TFjk_82IW>}8E$98xqvS_m+ z3*_QPlSk|<5$!yI0(*yS8?krD*q4)>KE>932Tp4=dY(G9OXelvbkiA#1}GAJMNbeW ziGiGB2pK`7;g$kWaHbg~OsS98HBV;I&$LD>YkxC=%3fX>6epE9anPkugbd5v$wp%* zOQ7(~It(1;5Fj|f0Cckh?Wvs9v3%RflX;DBpd12JvRML!#!6$W!KKo$7;^a3AHh-6 z_!;~dR1h9BVd^v?p);8(oAA5%RW_8#PU>pl1VIw@)-FvKq_YK8OeyVWh$*2aDYWsK zlz(0mGt)Y}twE?@3aL3$eUmADlMuv6I_-u-Oul6Wj1IG5!Z13I zFiRViPbmVZAbi6^Q;aECDoze9% zFqrJ9A!3I#qmE$TO7SY8w1uB(uaU_g=z>M}#gS4dGMDNS9NJ|DD$AwP^sFe!CGRzH znu(6AYy*XemReC2HKY;Pq+KfzO!?2vP1p&0R%T?D+Nh8}t8!Hdr8Wo|*wBD?x_{L) zk{`iQSw={kpPeseMO=>56vcXOD3hIyGjVb3ivssD_8SGck&!_jCs2?)!Op>1$gtx| zI{>!=0@_2>$z3hR@|i4-HU-w}kbsc33M#;`-IDF?t@z8%)7^`1M*WykD7)}#m$|5% z$xhiV|6~V8v4@J>3fp%Sv66L^)qhNU&lj1k2+~4hvznX(djSSE$pl4nLaYN>EiiSB zG3{u{ku=jOQ8tF!I7#n%k0BPl{U+csxYj+E*=Xg8IbKkN0u3sxHj}E{_RgB^vQp=p zqlKk(t%u4#s7x=PaE2=0X2&we!?60KPsJJWH7CVAOWj(Fog;Fy%cCyjNUp(+v* zK$Dyz+A;*HumxI?>(K7)(k-p-lhs;bifcu!w}Fa#bV78EiBrtrq?-b{_n5_ebK+}Q zf-+G-LIJbG8RZkN^aYk2VSh+AO0n;v7nUL|(7M-x(tgLVe`D0Y21XqZ$7;EJ$v+Fj z{*6Q5101qJ#?2A2D1~Z9Qm<-8N136!qrBp|mc+d3_*X0}^%nI!REK^7$4NaDsJ_<) zq(0V+kk-0afqGX>`WLSm{RocA(hl0xexL=V@1$n*V8GdwxIAEX)_<|>Af(8W6Bp^m z54ydJX=h^l@SI&bZ2trGmYjVJ;%J0o-`bEF?5M?VI%ANIeHr3-3!DXhRu>c9x?~1- zblV&IYJ`53NcXR>?>(LGaJoe)*N83uG7Q~$!&K$6x+(4MnC>Df_8mR_Vl%57ptsSJ z-O85Tn`9_YYmPvQ!hZw1(}4kpN+?CFH%yp#ZZx}rjX?%8reXkreN9TmL|MI4REHPa zPs%i)v9Xc;N|SB+WK9=U9kY9O?Gh;`xq=O(bAMXTI`{onGy6?)Uv=&W zs8v1I0J_z=2aaJ+$gDUfM=_ur0#vUM)sURqrP^(aRLQdfO6ZOo!Tu#*}@LO8cKk6vLy7#D3eW%&Q4eOW#+veq^c$+IxpyC)d zXP_E%>CUYl8-IxdP@rgeos_ZF#I2#PyMhbV2xq@=FNXltT38MN_NHZWA zozPhQs&r;Uoh@;V^q3y5JZs!Y#gbMVqTM^tefDH`6_i1--IGcuRaUzJz(A|(mpy}$ zySPO9->j2XSx0x+R4u39pia0@4d+ZFF_Y@`t}~KbKY#*Alj-6P&A(c?dbo=J=n9j3V&H&y@ZOy|pIf`pY!U@!y zNSLI$)U5*#NMAMj)QWW!$2wBS=FL{8s-wM}KBkh@!0b3v!{pxGHp}EXlt(qvWS!45 zPj|3Q)qn2&Hd#&h)L}8#nj&2Tpqh2)QX_NL&N`UJoL+Ril3_YfRgLZ-x7zgWz{ff) zLRT)?_WW`PQ0Ibj9uu_B{lBLH3p2JjWcGHmhj5q^SI&Ad)=}#?C|gy^swL-LW@6gd$nh<^p^Z9v zMaAQ0Pq?#_JYXK$=`Lo^QOL5-6_i2|`t1*5u-0)R=UJJP@~MGa=ZSJ>3-oEz9GS!D zw||O2aCw_}mfMdc(1+EXznH+**IhaVp~kHgp!?_-R4-Z34Rq(Gjc={k|Iw?p&*K(7psj8%ZKLM^Vj)Iv!Ud}=fByqITjoZBY)}D z%59oOEe|4lUY)bmGm)oXyv#e1Coa9hJCWyKa;3G@rfYQQv7^w{N@uG!O3apXTL< ze5ZNYCo8V?o92qIR{Bo!&D{_9PJeUhmrwXkbI-cxe5d*N{vE#4{N&T!zSF$q>d$-r7X`%AO2Y;=8c%{-(@_&zu?|aN| znQfi(jqfyHSaJ6jziEE3^m*TDF4_Ht?=(04Y0Xx@XkjxpW#iUweV}sV zqDx-zPUY)Om-;~Eqc2wbK!4?~N7nj4W%I)i`9S5Cog00i^1^{FK2UjU!A>8jymRZj zK2SOE#>YNTS$)ZVAE^9c-hvmsQ@MZdWj;_@vhWTcs66xKdLO8Ke9;!KRH~Q#7nB1; zbSWK4W+bVrdf(|#=Yim$CA$|dD}mV@%%W+86MUjWh$IyBHeFC7Gk>D}`BVOb4*q$~ z_A-~N7o0J`8jzr>VDi;3we zqP#<~4bwv*JcOry=Z1ZsRlwC8Ea3db(Kb=l6(to?1x-oK5L8n#L<1*FyLjX6mu` z=luiVDh@8?e18Hq+1LzSlmz;-!Bi|9Lpbjo4;kYI(C*v5wi0A$^C~2SU=dB`rwjN~ zG#ndYe+{9pc)mHezIYD@7je+(FQ_5M?gDAnFa>DWtlGJ%-1{bU2dv$(;=D?6bl{!$ z-Y)_>YA$XzyY)Y{)ML>QAk@a?>t zs~`iCZxDgx%>aZoK*7VV#qV6s`8q9XwPJC}9H6FMzToiT67P=81rkGMC#B{Bj*g2I zLr=Z_){;W6_Ma4HM3SPap+C3wfctiMG-3NOlaxwBeDMf&J@eUtZ+ZxTYyd zg7x;N7z&f3_5hl*HXOy1u37)|#$vD1#z3bed1H!%BF_+16vHDdp@)!LA=3V6$)ZQ9 z;QwHTzW~c?x(l$HqZFVnzWnt$#l8S4%K&zyX@3_Us0$Pu+t)3;%Ci`dvg;j6roBU- zsi@Pn2nxK=!3vf76bp~EzlCB%=bpRyj%}qVgE-%Bu(5p{i!-)&vmxfX!w+vM@IwmN z{!NJY_7qi<;v6tsRe+>thad0u$A8v(ZjDPYiEI-89pZRp#!b-S;gE{@g45H)Cjdg`$ zXYS#7SNW8(yRws3mCmk^Cg_>8lOO65#n6lIy#06~tmNRfeySlP6giPmRYBHKNlQ=# zIU$5Pc&#HO@oB97wYl%X=3p4&f>qmgRl)+4DfJsU>h-T9wGetS6%+x~DWD(D=VRRpwJ&y5xDCX&t z7w_Cv2=h4jt>;REk`y}lj220!gih<95YjSnhM-1;3u=h`lpEf(Xt$T~)_)mp@b=~VHWhk~M$pF@qN-=K6p!k<7@kuivaB|- z-LBq6=@DGHGq||u&KnN}U=asb^b-u2n!?MP$bLa7?{H=)goiL+JGR_?2?r`n^OPU( zGx*MkDC1Wi_)D04i85b9hAg(fdh47*xR`^ke$p1hf>1zgX{}*Ac*uwj7k}S&OBtLG zT0gNMDv_b#VYY^fN(g^9eCFc$?{Ge3qqv?TD)h%#I69mvwulO{gyCe-TmJmP@53Xg|Te3;`XK1Q2>Q`4olJXq{CHN~zb)i!LJ@i)Y$#Rv-ShK{fY zLdF1|^!4X+%+mBE@;Z@dczAetC|YZcgv=2m z$+-WWZH3?m5vPP}{~u6G2M87K4J7&<0051d002-+0|XQR2nYxO8B3Fd9W4ff!C>YM zFq5|(P=8YQ>;Coc^Zot$_js&pb1`ge?hWiUTZy$yj=%Mx%Q5V ziRgh1e(k@$`y1@nUw5(zJm9eemy6`qkH5lr7#HKf4n$Xd%i?b^!{3P%mf~yI-+dGN zF@O1D#u$e2BvK_Vm#UxQZHvFdFx4|yi=v+(nsjXA6mSQ8QhS5b?rOvej77|Pl6dL ztVK2=_*aX+{e$?^w=_@l*5|fwiKJ4QQh%hB$fR#sN|kbnR3WjxDUymMVv!QJFh*y- zB^4?d%wz5)A{v zE!;-*6)v`vN|ek;{XgoH*F9Jm$5y0%MnetoMkSziB<#TyvsBYlmscum#gV%$!STZ@#q`2WW%`$>Us zOudO2hOsU0g1Nl*TE5w97*C?OX(LnMV$fCd!F9OTv8KQQ|V%$f#@*e&W>@ym*Oanb_(O?Q0z2ZS$i@a2gZ`YW1U@|07PpnjzXFYAJy{sM{1+mL5`Q%H1eX}V zW{93BW%qGh3|6l~2iRtRj{TXcGyY!NQGXAw`}ZI@exl9*KF}jvc~fRx{0f!Lq2=Z{?z{bNf&@5K9q9!E0-`MO8>^l!-a%&v++0VrI zL(vl(<~{r@i|^Vg z!D?{-oWRT!<2{r}#qd^Oxvu~F@FY8U-}{n3;-ECGV2W)9u-6o*2emi;CFna1>Ors3 z%1$K5rAjcr5#P@?yM_s@6tX9BYur-$PzD^!lKQ!p2P^nWgFpw$D3RBS1; zlzw0%vc^HQcXFTrcJ5=5;_*$H7zgp?Q5OQUzr+5@N+}mflqy@Tdu5hlT&k2jl;H9Y zB2O!qSQBAr_5zxVrD**944&{a&>0&N zW(fP&_EWS#f~p=60K6%aDn$~h0*tqY&$8k8cqoygjsztc z6XEDUD_ky@$>EXsmr4+O8L?yvU%EtLdH1FqM~3c(jg9OJTnyr~-DV8Teu9CAx_NRN zp8X!KP>3Gk3J{w-;K+gR{;^1LLo?64+9Jk{U_Yy70DowR3D0E1Ha)_XckSQ};ICba z?VX0uhMAj#pxlvvf={cIsXKIif91>=Sud%`7FXE8G4ER()T{cDGh%WqnFDVrQ7`=H*FjhR92S~@)~Y#QztrW-(+*wry|=Q@x`nWV;jKZhZ=SCq zJVcBuB!6;THH^1oC*p;wAGNC{@M=%$U?ICg^u0joL~{oVK8i@~30dQJAA$+zf-Ta) zsu5d}yAjQS_qLLV6`;%B^97pa(7f4*6bj(wd=MpoBU&UDTZ+L(d6By*G(T#KVr33; zQevZwP#bMT3Zp@I}f6 z8noIq1sNkNbFfsAn~82Hl_@0;zq(;#11{F1!&vZ<;pz>t>T@#$q~%Cu#~f=`js&p1 zxKykgXdt8MWDO1MH_buZsz(7A-c>2Phufe9RsBYMT>L%IL|5GvG{i3D8<_nycHPSM z>wnE$Uqeej8$Ghf7FQXLi~+9{6H@z-fv_?Mjip5|(BoUfC&aZqvU%R)$x=PrjRy*u z%@d{O*|z<*YcaOHipUZ^h!X4dOlFthJtrXuwj7BcZy zx20mx;jlMg1gDHhELNLYuoW`cYKoS9ovi|MW$TNE@IbLdA%e5t@-C>4o6P0HSBBOD z?d*2!>OVGxHk{cwcJ5`MaZO&Y%9@W$jNwbrt}WnjH|@X>jzx`w0!rtb`;E;6n16wl zL=1|ZH+p#R4D@O~{K^gor33cW0ytPCGLRnGo6Ir0j{VT;i^sSe*9|F4#VvIca4|T~ z8~rwHs`?J-zHLcvfriL55a(lLU{oOc9pso{Yd^rgt@fxbZE;)J{g$$813%#P?9}(v zzI~1U$Jh5cSUZR5EF$PdsX@=;i8WNLAGgpU_i$^OT>MgQyYc9e9DgKI>b=m9Eq;V? zZi$qlmxAJbt>X z6Zph=v=Tk}@W$2@KH1vVH8&n%M3gx@=!wPPrL^w^!Vrkc8krWNRmNKFOWyc@t&POa z4i|&q{h>A^jd}JxyL2~1ekXD~;630~51cOhOpt?5>t-u+(0`KdX^7T6(N_=f8)ET? zGL?G|z47*?i4!jJL~Lxdy|Fl1y}_qBPhlaES*A26Id5a2kf-u+)u*FTcDU&E%#e}C z#?KSO*>soKDRke(!0daNP=Q>3q#M@OxI!V5gRJBHyKqpzQ3Cwk4;DFU*X(0BR6(q7 zULOl~j~ssp7Ta<*OyC<3Ye(6|@Rb*OXsag*iS*G2Hcud84y5g;n(BkDhWhGZl{u)G?#dCON&c0cYD6q8HP-Nd$gW(a%RxI* z9z+T_AnrZ{;qLY8T#^=1lsDrpgcHe1bETUy2x%f)1?X{}Yp0Q*`}*0jfS@SE#pmT4 z!TU-et|;J~Y0r;wZ>Id4hx7&gpzM^nN7@II)_BcO8)TZYP&L zKuegFIp}FR8Ad&?{Sj<`HVx*Oz$dV>l}IhcAU3i;1fh@uSKhF(Q9Y*uET0CZ2+@N* zlFH=xef%>JKGsl&0G;S7xem7>OqMAAL86d6u)%*Tm)WZPF3=VpnTa}8JF7s{(D^P0 z8i@xYvBtE7+f1+tP@0f zrfcGndu#hd0f|G3gM;POd4cL7L<$9Z=H!a>DvoZtYH)mfFml`!`R-z|9E`2I6&n+H zIxpM9%McBd3@apm%IGX><4n#t!bA1>XhK%UIXfYg*DY)yxpHFyy)|v8f;5M-9wD5! zG(%M1{(OO}Pu)r0nmI8hz^8XytUZf_JaL()o+fZ1Q_Aer>jgAj`^9Jf1wNJTSCF+H zU&vO|Nnh7dBbzAR9G*`3!C9yCUpv!cKBZyqz|m%)ki>9*WV1h&L(>Dw zwl=Fdj8L@O)pCZxRTa*|+n+s=d@izq&voiE?F`2oiD{QS65oMKka{73Bi2HqRDd1l zqHPm+5jpDnc?ja67C?!{A%A zk*IqB6g4h?)gd(bTsK!fevC^&abNdy7VKLo4%$i*wjn|qqD3Eg?6g5g!&ss~mi50$ zWnV}^V9rhfBE@&j!FX21IER7yz2f#`d2l#G>IW?q_2p<4w}BS9@MJm#*(NlY)#j~o zy4u4CUI-dW?I3y^gzdYW8mRS_@}!u4Q>w(#Tf7^8%prq!VNq3r0ka5{)-ct`hEm7% z>%g~v>T{AXvsZNJhhQ5?d=lxS4>bd|;IcH3O%nMUQYIFSXtKt$%l%a3zo;!LIPiCK z<(d5$`@ULe)lD?D(&{=oA1inVd5@USbxff4G;hk}__fQQ@;2=2du!jmM*R8p0}j^5 zAs_jFDv0$ei1l^210y*cG+Ok5&X1S|l@4(Z(hGeA3=)4#Kx#T#{3T*5x#Ddrw1OQC zFZmge<@n6W^(vm807mmvS_X1rzj$CJ#~)eAA6k8ZOTp$uaH=5zkQUaHJTMXF68YYLl808vGC8KWrXVF#BDRv*y=|qyZNPa} ziHosTb=zP5I%!exjnjGYD&PVG|$vUW4cy5R8@1#BU6@lynQ^2NLgF0<+Da~ zP1x6cq5;t;947F|CAPL!3M&PC&}gTB)oud~W_brpPO4;LILd#4nrHO@6wGyw8f%8x zA8hTc9@;ztL#fMK2C&ue#|Luso1gnq6%u?UVswuOVA0#{46TEND}sF&dVjyNROTaE)D5_R_p5z zFj8>zYRuJx4d1z@=is}ClQuIfsE*5sdC#2fI}p;US3_uK)azi&R&NMKC&283{8U8z znw!8$Di$e4pm%KJ%1D1`$ZvXL%LJ+1s#l;?4YaY+xCMQIt*zRaE42J;2C>ZU7pi+* zj_#)-UB()E+HfFdc%;rj$DXKv(v}7se}~u~nmJI|(H(?XPW_ht0GhT!3i#A`?_&6> zBTpZHhBoeOApX?6Y0&ZPAV^3e(z=tDMm~*5=StQDWCb+SNB%?22)DBXd^l*1|zZSs((<8%BqSrR<%EWHmy-WMb_o% z-2-9}yz+FjK^09RPLGk2_;Vf{?dsJ-)AO+r>AoDIS<(#A7W>v#0X3BLtaH4QrJj%4 zS6|-SvkLuE?OTQaefUHQ)|)0DsuvE;Pe;k^>#A_JZXvXK0Gj*uE;K)*_ph=pMcr)+ zCWIL1@i5eTR9DnAz%eU-E1vt(uwT^iW*e6nWGZ!ucHmPDnnZQWwgvYb0b?{NBHTBI z4`&ncES))7I4+MgH4|VQi7jpmo;Lb?L=SY1tjsPUyi+$*0&04bUm&(7GW(1DfefS^ zs4V>EX~hV#{F)o9SN^`#MGpf)r>R@8@hme}sEx{bHpdksXwbZqA~6zX&V(44o(%E1 zLVRIv4vxG=i}>U~M`(Xth7oh9XLoO<5U$&5`p?^WA!dv&?_32X3h2P!GO-dRKqFbz zK*eK`trA4JTuopE@IUD*bu-l)rmw2VTQHymm14a6(+n6RYVG#1>wJ@$F&%&S+MJcr zMEhAbvG2clfV`(GuZw(^K1txRr8&)%APMB;Ck>;)pjw@xW7G45`l4|W-Jz$Z%y|zp zQI~E!lCn_lk$7bQpH&P8Y|24K51JF|l@>PJ>apmLBDom2ZJlTEv>xCuP#b(B@@skO z@K$2Ovjk0Q0}&9pw}eGg_V&lzKvQ zY6J%z^nbIWS8%!jQs&k?&C>SQ+ob;5AB%|)=nXpN`(@7?(xelQjMFPEwk}rg;xy1^ z%BZAxPvl;4_2odUG7Ra+_o=NgeFqFPGea1Bmu zYvse?ihl+=X1v~`$|$AFZR-MhD%Rv;;5_0oGG92Xr?sK!1wA+rSrhEZB1re~Sh9>Sf%*T)+r0)>ln`X+a*LXbba)b>h_A1`>BK>t)BO(EE4)Hspi9u=p8fOnOLyjG$_RI;XPKy`_ys zfcCD`!7>!BvUvYC2f=WBmycV{Z04;k9K_kdet;vh6}1~+cq0_hid0(i>rZ`=Vz z)o%U<1PEMpJ6uIrcK;&_5dQSY4@3XBcL#JwG!7fk;Ay1aZxGGc`yYdip{Erb=*Zl7 z|CS~2>87Z=WWFhu--Z_!WOw+oQmT6bJ6jy^V(Ov>Wf=0@>aJ%cxWC-}w-fR0d}X)V5@} zcKX+9J$2{c>1U*A=oVKq0dYY$KCu1oV zU_%`0(XS-WSCZ$~*{q*f{5i%|j~9KS=Z~2OmQMDZO7>beW{`h9ead`#1!RSD>B=L@ zM32H5Fze<(%$!^B?r~Bl0IFS?x$=%SA(NC@T$xdYX$8qeQD{6ba~Loo3ytYD zDXX;n?cLmMZ(S}$;X*_)#waw##JF`BP=v-+?~-(sNUG{2Ftc#A&SMZB19rB<)aPow z^TPOGXTv{9UtE8ztjS=L6~e&!>SCsB#(=hjPNG7%-FH~TrYnR6*_*W#h0tjXX1x&< z!kpMWZv(nQSW~swi)mEAwA#EXjJCpDY{sUe03b!4TWoR<;4r9g6@&Zp*vnP8)VY*a zdSXR?q}z;;q{6wx9#`@i#kTtD32y;iQQIg_?>Eq|n-zch`ULRx2xOJRPTNQh!+bSsyh`D_+dq)MMY%QGg3R2Som%}|IV z`vhTu?lgbNDKgtTQb^E%g8h~&3}34E;;U$<}T_yJ@w}M5{Rd(yU;|v*fl_KZ$Wj(^}uRx(!MJ(JjqftEai|ed( zf`{u^=Q&TG;ITTm9t%T341$OI%pf^=yt^Pbh^N&%4hsFNnT}eWJNs8cP7aX z1>qroq^k{HBY93Ny^2CyM|2QfQD}9Em@(2R3bPmf+dM`^q4?QsqTXEy?^}d1Hzb_g( zyHE1(Gmny;c;u~5jtO08)glq~cERBq{~hEge9ry))Sh^%s<8v&HLM*+_w7dN2>nI8y&0`XdWN_(0I8rgmedZ+TD9# zHgD3`1lrh-Ev!BPo~`qnEbT=(@_xgT3t-MI4+n4OP9S^#X`Cm=j3GS~$E6W-?&;MtoVhD^Wu#eD>J8g$)J3AjhlCWKlmTN03{pt9Nmlm2y7OP4WOR0xMu}b zi<+d_2=aP$u^Xt`tD|bh9dI<;)qr-CylD>V)=$Eaz+Q)bA-9jzybaq(Q?At02*gC{WR&epC;lhYy1wE6deUQ=!pB!Bw zW4ttw^$wcj*wObf69tJl7rxJHKQ4xOUgSS$gb(u3uY`|R!pFZ*_)t)U55-G`kImhT zEK>&IqjD)Gk|KP>E}W+t>JdK9b6Q`22nY*TO!dyZDM|P^%^r?pF$o{flZH2R`#(q4 zx3Yvp;lp#wsZ&4}K6bsv{0*tXhx0~tlaW^V$nhRq6fg=OSy@x=Jc{tq7_n*3B?uqc zE#)bO48liYiTe^1VTi(q`{~NNf0FKDzq3+lLe@Qki`FXH47x|g{L?-zVQr3o%8cv4 z=(@*XbfPbZta}WnW>yMFTj^VdoQ&zZM}AaCw?LzNY$cYb(3N#3r-C#C2HnFuI>XO| zLHB4#ZwxVfx$Y5lS>A@+eUbx^U%uAFA?Y5DqtP7#M%|+-&HfxpG!)%qZZqJXe%WJd z!Kc_%kL)qnFzqU!$R0`FQnI2jje^^R%1qGBOt*qkWE)MmWob_ zxddfnduA-0rB~T-+TDnM=2Mi7nuNMUV@748B&)t&Z}E+DaYuS`Ih=Yr9ZX5SV(Nv16QjNXApK<-UU;oi|Krdwox1Hw@6Ntg+32|Ll-w9=8jSg z^@fD7XXgQzLEYHi3Jx)0RyVe*`W6r(T)z$$!-JxYNshg1 zhVu?mu@wx`Xjiv~KiTVVOz}7r(6o<}m>y5zJIIy7s^7o_3POT?&KnxPpZK$8e+Ti% zS3dtMpI`bf+229(_R5$M$5qE1$JFTgzGiegDTAk-6g=Fq<37Jb5GH}O6`pg=Oz#U185idA&?ufqf zU0{*9V<)>S+la^=Zr!mLNLkWkJFlmNN3!W4(meuW&uS2VNlZU1F`|J;)Re2UfB{5; zpZ7!=F@Q*9a@U;E%R%JWA$;111|ks&lX+Ych-^IXb1-29k)ezH2-G!X5J@TxX*bnR zB2z6Xz5%=wL+m^~By!$5Iw&OVLx}L&i{Me%B=6a?5;m1h%Ca(sU`i(=n?z^SsWzKy z7Gt-oj4T-AA)(1se^Ija*OJL%eNmJNiA;hn(nI-FGRZpbuHzEPq^{p%j1rNJuUvZ0 zrI5*f@%EySL?#pUj?=oVP<2Ngp7_)d$t16$yFZM0tN)`w0bVpk^B4QUaiCOzmQ^gmiF9nz0@ih-aBDhpLe{A=07{TRf%waEE7qRsm z_F*U}=60}>g4Ary{;kaQV;|bq_-7l*Ht;dk>7U+lyM;))SIy$3np8Jk(Dv*!uicbL zHf|#Ui^wH5HwQkAK0d}|v~hB(ujetN&A@5*fq@Qfs-EYSp|)%Geob8*l_QfbSEzGB zJ7S*m7MdzItK~GOuP%YPF$hRcEp%-_Y`<<_-RhOo_JiQPD>vjdLPjve8 z841X%eAZsI(@mkWQAGzsB?4^{d`(_YnVy;vzXFB*F2@`KAob2~O(5)3*`W65LpKzr zkSkSP5wTx9%hpFsb;}ENEM{U_+Do42lZa_;$Y($oe+{mE<~E1o0TLNF58AKPRQbx9 zEI3C3x@xyZ`pVkADG{KY>QZaS0F~(6v?Qbf)P~!7KgzGPybIQY>JpK-Bp0jtE3=?r z#FRo*T~9++j7dbb7O;8FqY_oeKwgLuL%2A5Jqj%wn*Ext&PjX5dJ(Jkta(E~L#zxh z-(EuefA}=3VBCliu?7zg9fkT3>-lJ9hHlG}`V7~yc^8hE**8Nh&;8xMS1tMK?C<9b z^&SXmr8qbo^&a5SnJaI>eoR1Qu9>>XK(wn>vk|GJvvAae0m4=Tyb@FyAuu7j!Flfr zb);OWdRK!UBR)KJUS~nT$|qDrb2w;L?FOvt9-T$|9VsjqlhyDgGs=~wCirM#G%EuY=LRH{o1M;x7s7XtbBt|hpO#n)YUl?Vj-&rkQ;&>()~kAC|8BVKU%eg~ ze+lER>mN-zm}?FT3P2G~O}Uta7<(4E9$8~TWjZRMtF0Fn6Bu=afNp-LPBUy0y195c z=3vxvWX|FC5vnRGq;h0MYmU9D!W`P`*VubDlIoEaOimXX!SWaiJ>@YrYU8qa3bd^BVCSIu`F{SA%?5Je`MK!OR}#irDR#5|D`7hEHg5lMhx_nEUVur zh=M?*Em`K$Sn(gVC>b#2H_c~6#}xmV80vQZ9mjoDXiQtNEM}^H#(=3}*~&?$YJV=V zVp&~6l|NdEfBhRU=2YrsOsrVuQ{gjiLa$gB?RPpMBmmt?^5j#DOl}))t~*>3f4MEY zj0))$%eo2z)&$HI%a;3t`%N?qx7mKU$Dvm&8%jAyGSpkK?5KD08Ao5mvcMjnLjkp7 zSwwl_3WtV@0hx2ICN!?A8YrA$(<_#39ZeS+Fv4BCt85#3xf%YHIMPZ)^HY=1zpX~>hu{lxnkLBSX&)^M|g7cro9oVe`48L{^F`o zSF!BbeyE2rwPIP+WVa_qu2|;zbf`{9s95GT)R1dRuUHm3mtSm5u2_~?x#7s7Vp&7A z_fH{(GgU0}9*eUh7cBE1iD>0h3YO)}xtFQ(hzXNpF}TD@lk$_*+3Cb%E?CwPQxMD2 z(b-JSkhhRrufIh~ADKQ)y(coi?O$py=N z;_PdM-@IU1o~uVAMlD$8nNT!hKq**O(3$OokqefEPPVThO@?NNvPTmf1p0t2*fY1? zfB>O2x9a^0?<{E7hCkp!n)Ef0(ovc)cQKi(GMc zcV%rK${1v{8XoMHkU%Ldc&LCyXQCDV-Aj}&x{xfmc2+XR8=G) z@=RH0Wss1mVwq3nUJS-ivFz|L&)ry0#j^gwn06jx#j?Kcr!GQr#j>SGG3)t8dYT?K^v!lsS zTe9q=I$?oBEm@Yi8I#0iC|Q;j75-FHvaEf3^9pG*M2_kTI$)DgXsQjHu_+{h&Dn5posh9)*>r+yuQ3UEY7R3_cr?6ee~64-Fea8P>n|_cH+={B zkJ!`KwZ7&pov*n=BaVd$@P~zr$SV2HW7Gs3tGL|bl|B7a7p8lf#;}h$c;w? z9{2uHUqc3-e;M;%+!RoGre>h8N=W6I;PQk>RCH91^rE2r!Wk3&cr&sY*kMA!n<@Vc z2Z)ARys4iI{zpA@6XoHuje<5Bx;a|wp5STmCgk9>)0h!&He5qJpd&%Vo6OkLk4bdn zG}gY!WulwRjm1*U{za`nKOdbxgOYTA{Tp!Tm-N(#e@Hj=1)EKLI^B$y4d)vX=qBJO zcAG*s)7v2%q)K6z`2jJ;bh-(ekI2zekJ%?UUso>wdBExjDr!omo7kBBzt#&kjop67 zJbiFe6;-rBgPU^u^L_faEF3)Mhtbw}7`gE6Eu5f#z@#@UehQ5{!Y7w}3<>hXEvo$BT%UMlObSzF zY_A!U=_s%_@YsYzM*)+e5tLX~MNXR+LMW~Ge_-#D{DM!SqtUwVeUz*J1)ACIK}QiL zdSGdBx6hqc-Z}7mv5`%Hr7fRMcM2?xULJ+hs}-C?bq%vfu;kg6Gr-ls(t>Bqj(`eF z(OD=bcKYYTBnTqT+U9_11UpIGH))lW{54 zf4gx)NWrCz$-FodDlVPOWb|s{TV0uZlcvnLlcFSwaFXmB)GIQgF%jbgN77&2edH%PCJl#ifqEq(oCP8cp^s zO`<-vKt!X-RTrh2 zj2bGOAqK8|Kr<=serS zgprKGqZe{m3}m!WR_sLkJW+3PLXNK{$`{|qGg#l)bANF*WmrlCx>~3l;Hi zGm}tCX=AJ=TW`;=zSfkSt(X10f0e^XLg%XsL*&9gRmt}4^y1CcRnxnCA_>iUrCm!w0x4a8d#uF&TJe=(v!pPqnO&uLBB;`phyl|>Q7l01Q_=E$Ge<8cgYrM2m z{dqnud!;wVt($7|R!Tai-G$T?vHHVYXJQ$Prro1Tef7E%oRar=gb$9kCU$!3wpfjy z)%UYW?suv~b}L5Bx?I_HY=;>FYX2%ZF7eyi?};9{Kb>dVe3o_^6^+3!mnxXQT$-Af znxqE{egz7KSLV3%1ctNRf6-nR`Fo>DwG-zYA{5qLcEpnN+MbOE9CFEdu|4NQ4$L38 ziLRX;R@MJg`#Bc{10kl=jF-5nr_+Qit=yE8Nh5|t(YnrvY7S{ZYYBIEWBo}F3gmtcG=`%F*rk;~As1Fay+!PP+uLlKU#wu(}|f8JcJ?2U7KOi$wD zhC^NqbzjER%Ag;yRBD=IRyUiN#pa(_e}cAAsAk1p@$tDPClF$Fj^9j$3Bxx>Gh91M zjP>Vdt_~!-8<2BlPcNrq^n7n3u{mrCV@z+0UkW}%LN&=T4N3M|q;G$l_NsrO**vij z@6*rLesr|>v>+R!f9DALh3-a>cPU2=dh{|7d+4)gEr$Bin{vZfw%9MtZS3Cf?-o#< zy1uYxVTw-nx5{6C=)K%@&U3%f+S5N)wXy2^YzL1g)-QTd6 z#$xbUom{;7>4hl#<4(dznNuY_{qr2ETVLRklgrg+nK$-Me|XaB_RP-a^kdYoj&^6R zl)=ial=Nl)@acc12{mUU4(URA+O%(0!LE@uFSBNER8{OxSJbtpYtLAhw&qmhl}GvL zXy(ph8=IJI8hac#qN$W=zqCK8PUukk#=+^1`z&g5ZqP(wDszHrKwDEU^fpS$&iDRyl=dRX|=ba z63Q&ZJpoz1ri{s+6XyfPC^4UsbvCroJHcgCIS;DJ>q(g+et}~itgor;H5J`rq%Y0W zai+43&ydJIZ=X;C6A>6vZdW?i|Bh)lMQ-m{ydfp`f7Q!nbecnzSq2uyx~XNo!dEXU zOz1QnG98(SEI6ZSJZf<-L>0%#%hh1lVU!W&>kNYdkucX(hxxuaSy~0vtFOORKu$Sc zx|q+`RAe6C8jCgg+R9)93$fRzdY|9%;tP5j_H#l39~^y2z^m&d2`OiqfLHfmLKv4E@CrY4IYO08wDG8+n9>)< z1XG&R8G1yn#n4{e(#N3&yrP$Sq6PGTSGK)-wQ3Wl_69p%HL9%ynt)fvVb3nc5b#>> z@hvu_2E5us8V@+sfLCm8%`ze26Ns@AV$1OvyTG|v}ltS>e_F@xE2(3_smig`nIm((xIfJ3wZ?{ul|xL;#F6Cxxk}F zyh^;+D^c3QuYUs^3tLoSDow=8IU>N7OOJSEX9VRE^ZA+w#?C0;d!EWYFCtZd7;#9n zf9KO9UTyscSTWguL318|sY6A+KkHaTBUf5E4UP z{>{@xdJ9aEMYNt3wAWJd z{hl6Arcv_!N_v`DB=w@_%-aEq4(ffKIVHV@`r=txsV$k5cvke%cq*3?&zf|4>dm9Z zv&uRg-83IDNn5EN(i6|hs3_0Xf5;0`9Mrbt#Tk<0S&@fTVMgS5R?c!?wUEy8MblkN zJZ(H{I5ujUMPTvEgQx`_IiA(fyqn23` zBgebaFH#fH-d&mrJFcpIuD7^FLBq7F_M4hvOUeg)FcLW>&z{Ay>G7@*f2WmZ`Gx9uvx@vT<-}Mm3iyit{d4(h;O$zjcyt>8< zqJ@khFUPLaIyO1vRdeR88<1^n-$;m_zs-R8`C?`piEarilE~*BvrJGcF zq+;&06)K4YXm{E>`)9Py()6R2r%9iy;oWO+I8>uRsx+O$%#u_jRW8v&UP(Eb@hH_v zs}RnnUDc@~|3pFLvc{(qrO{|YULA!I!zS+_-}3(eP)i30kQT;SeKr69X^#K^P)h*< z6aW+e2nYxO8B3p874Hos`W*lOjhT}142bUcZThR}u9+$5s%opMhZ&5!3L>CJgMtryL{U*uLD_&r#TQ5v zjra)oAfoZLC`QHj3ejZ0d#i5My;apcJ<~O8R{dw_x>a@VsdLZyokyKplyZSf-r->R z2!A*mTI$YiY-pc)URdYVEF|MdWc#e{qrHWmmT$d1% ze4mhLkwv|(LrL_7@RfmzS}5J~#aqujaNDwr`=Avj^-o$dNH-~}P*=yxid+{liB;B? zmwxv0>mPlx=kC34aWEYwdhItM?2asAVZE0Uzh z;U-1abghmewEe)7_k8m7v-kX(gMZIOdQy(kL$vp9y6X9ZcU`~u0uEXR@W9Q^2SzLL zi%WLjzxL^?eh<(JlXHIF7FpBPbX*q|*?MY3ZTG%M4*lthTW>f4T44OZRfc-T<$sT^ zTfhF`WnV1jaw8>WCiVWkw;cLv%M}L?ac~Cw>mZiGt#TV5|HIOoSG{!owt=mHO_Gw? zOBelm^?^;xp8Ofigef_5H$#vVwaHAq>kVa2O=?D}Hej^{t; zpb16~$WEyTd}zXf7g%l^edk^~PK7{Nq~}bMSAuKn6v{Atc1o z2Twlw(XNBfZZ|PLcV`iZ?#EZo~d%T?0~cmjxnWz5Ds$CHLQP`zxPtxw#_`5TvO6b=|s; zcYpQEn{VR+8pP|)ZEA0eH8nK-bn1EGZe34lVO2;ek&wjq2y#eKyTbTaG>m@6yTz2o zCzB#C#|3nx3CS3qdt4|Qs(+_Pf_Nk}pI5OsUR?S=o0hG4c#{<9h3- zhsvvcVKhxB5@koRC_<5_HS@b07hiqox|i3^tepTqB*N3wcv#{^*|?Vh20Vf#b@%y? zJ+NofzMZSM+VSwu8E&b$@f|m9xco(~wgKuh-0G!PHi@Sn zx%4+%x2}8f&TNm&8jH>OzMG#o@Z5#>|9M31q>T5n;ABty?k^txeAV&??)!)fth$?n z8@U0jvYJ_rV9?{_-qd~ZV8<0;^2AkIpHp2gEARco!cjUsiBNLtem4D0KAAj?n{%_oXoa?u0 z`Cb0=oA>lz4a6Dl=8gzcM=(FUuwW3b_FDx4UjF-AB>*oM{f!eq?R@x;+^2~vQaCAg z^Gcr>Ry4%4F+qK3LMTd)1n~%#a2b>+S|}-MdhH8GPQ4YRG~8|#Pv`Uih1Vn zU3KKdY*k1Ioqu%=s;Vq(&@?3umCOlpI47M-3eh?kVH~#Wswj6gDY7o~>UFg*9T{v4 zq~H|e#ORRBOQPHrYDoyPE_RB7I$KpziYBOa@I7)(ijObgyM($vg9LsDEk(<;GwL4W2S3_sA*$HO5%T zO4v?`4=6Ml3za4XV;GJ$5A77d8nQ$CEIhj0e*kJECyxWFv_ZK>NvCBHzULSiZk!C! zC$mV(3%|$0(UMCvl@cpF$wN{D!N_W;G&wbfM@?``FYp8Rm^HJVxR3gw2g8Bk9)qJ! zWE9Y$kAI^YJ*5};5sdH{uJgQj)b|~dLJ!IejH-7$dEg|dVg~A*qWQiph3&0#(64eRZfuJ+&YX%4j<=v{Qe#?9B!7iTqAco@(5VV2Nz1(27dzQJG7*qa zo7;$fRY94Uh^azXEEPw`B~UPNqB+f(LR?o=a(!C^`c(~J`v;S7L+jjFduuB?Dh3W; zRt05gIo>VA7YGUJs)pufbCNluO}f(?@Qo!<5Ii0ID1)Me5<3ndQmcRxu`@=^i0(McxW!;QNEQgMh53YyQ_mno$C~F2rAG&qP%0*3q9n!A=x{hx zE$qO2FYJi%i3FB@6_jZ@pG?L&lU?Yz27k(bD)jORAudXM(j>`ib6aH0STS%|d?TQG zwkpax-;or4DkM_^CRPSTLa#`kKoz<=MT1j-VY6mOVrLOv$Cy{QipKNSKsnMBEn@Li z4IuOil=759VQ(E-B^+Lu(;&ia?f6U?6t$a-RzOMH)S0o?sWZ(J^F$T15`aomD1Y-~ zn$Sz8M@zb}!&=P}C@^GS3>?;FfMIw=Ou5dR)z(aiqp5^0RJS$noIKf-+q}kDL+e~q z%n2pNYlJ*ghVRT&gD z%xrEkr?i&HS`i$bna?wKX3LD(=6_-mjixlC8X&GD(en!nM@aU~ zR+U8BSVM$c*y?NH7-B5g+GAR`*r^-nI0CB4IjrLXf&7`XX49q@wRC?Z1ZZlarIW_G z{)|BR6myJV1A_Zb%3@7tVt*i1ITV|IngJ%!)XZr~F_khHMn1{G_HwVGN05e z=?I--uLFi@=1ZZ_bOab0qOq14Sh6)xKCfGl%}m0ihM;41ldWI~&ou$-K?xLy3Q?A* zZKVXGVjbpev)Zt=9Ss#sv|~#aiP41hawtwHu_;rg5Kd<{lf@A6tbes|40b@1ECs#IZ$j^0jM+)UhO0+jetv4`96w-d36~SO%szz3!kHgZdX8w>B!78 z^BQd-O;&}J!0SSS7+i|gA!6(X_|2F)!(@xeyb zdYQNW%wAdw*ouK8Dt}?FIZ+-@WAp&1gCKtVgkxKb!=GQ3DB6xx@~NE0I= za;2ZM8lZhnL)#n_GhuQ^L&aodS+an<%jv9BvH^gJAShx9C4WYRgU7=Nv>Il}G)HL_ zbwM@I6`_UcCx=57VazK36!%V;RWq? zkc((;ZX?kKT7O)_jUm${%3cbECJGxM{Uh@yS_D(G(Q2AFM4}Ob+yKKClI1)GYDmyX zyOB~ToD#;kbqv&4#zrk|F>rGnip#7V0zQL4H2{kHS`}qM8pB5k6r6f01qyBLQKF?v zT8#^>qAWP9hH|IKjB%utN~X0jw#BpFu0h6jTn0r8yMK*A6o0c3VxtwH&KXC!?)g%R z=okSABfmixVouATsM!{urPh>NLLN`n1yweLRRiTy&zc&WHrJZp$dzn~Sr6YLcamVM zac*N{>r^YUL3K0p(s_-s_NlE@KxzgRG&tMlkMu$`Jh27C(}@kF^^_r32PWI0DZx$l z>CBj#Jb#NMrLD-5IM*n7IOMT}bI2(vl@#NmZUAiw6ig=wXGC=0we!%Fx(@8 zGpz-iXaFir6f@o?n-7digC;#>sHPW+ZUB#R!GE>DX7h+oqrTB^4o_w6OiE5N?PEzL z!hksIR?mc)&62C2Y+72z!BBla>G270?0mk5pM)yUlV)|y7vee-OWO%AligCurkxv} z3@4f#TLN+-Go3!x!AXK7>g|1+E=Xqzs+d%|rin?RE+KUC>7-s4&5(NG8F7GA1!Zmg zLVsH`*fgsO%IuXIcv6)$Qvw(k7gb{(D!aj~MF*VTSP?xFsiSQ6bXV+c1;g(pxeZfJ&x7pFK>V z0)XRSxT$M~z1b>;@-Z*9${GT=-9CO~GksO=8D zJeb2(c{w86_b?u#9EuHrWO4!w(t9DV&HM>)tjmxs`DVsd2Vj0);9ZN}UqBT-?SiFU zinNP|G{j{^D!UrTz?4-tf{7*OEP9?XNIn%&ayc>DSz?E zE}VAIAosNy3vc;p%01hTEBauOS9SWfBGy&q=bid3;b!(7jtXA?s7%$dNOdWD4T!3X zFo4<*8hU23*&)&lWHLh*z_1qCG|`MX13?iw6(9gIAiT&74^BkLXvNW6%ABu%R+bG} zkTZ}s0ojZE8I)}#1PoqV6+VI7z<->+l;EL-9%05m!Es52PZ+mPQxyr_-XbSWARl;% zmMC%7z=CKv6;yl=j+Yo;=Lo7wq0qe2Bk0I(DPE;Sr^(C^B;?y%frz`RXN4yH7~0?p zN^|=$M>rKQw*+H;3x-V%l5Ox7F4R8cYl~cWvudfWie*Kq{~H|owjj-kFjC8mx8Ft_ zvL%w?9il%dg=$995v&>gRA7vwV`84;^(dzepfRZ+RD%gaI8yd zXk+oMY=kt$yYl64L1|DmB!9blpCg7k0CQ`Jv$Jqri3=_5E;$ww*^V`~GmQqsDkwu| znr6*DJK|~)%L;mIYZ!xibe9#|7{zu&W$9&NnwC7^&w5|f?rb8W+jU6jMYqg2I$hWx zD?^_M11xMmhk0bPZTE7pjS>vTuniEobv-bx3~bv%*5EDYW&+pScz*^-9B+o{SHa$q zRzHyv`;L`;32G{h`;{pB-m~AAnasP&eqK+y-ay(lM*8hrX7hDa9kp+E)$zN!V!vJ@ zdtjZp>UhYmSyN7BpRd|8uvX)oRmq2>+do|!L}?8&Yhph7H^hpTJ^IKAv5?NWHx+!R zb3=`9`Dj)4Qijhu*MHwTRaOCW(zy>uvfEttk7Oml#>0+%3REY({YKK!B+8}@R=2e) z@Tb>@>D8t)^>Qe-d;FLtJvzFy8-m!@AFtL^rXpZ926?En_a+B`VOFOTPDtnuNw$^Q zF3eGy&hDLI0)I~}rm|DgcFG!q{nnb%98{0cJo=(tw&UDSlYgau(|*V8+Vf*J_gT%Z zu3*M~M@{wTGvyO1YG<y39sJx17>g zVKp>TwMV9T)oL+h0GsLlr;0h{P;57>IglfBw>%wjp(oX??l`+vOE=V+NzqAAi&h_j z@EpZxSZGqD6o0Q$`$(uhc{U+(N0DYDva@~2XsKuS>rmMeg_Klflc|tZKnc~DNoSwd zb}wrym~$(PvlW9>XP8uvYSEy|(=H$8%wFxT+A1h>_Vtm%S9TY|I^+r{@oZ&v6+`H> z-tK8(Hlx^1jNP>4YzVG^l3co$ky<6_tz?XMVs<-Fet+H0EgS&}DXnLz2eV}jXU&&v z?z6jhG9<%RG1Aq%PJ$*T45D*BdczUD3&|>kSi2mtp;$eybUD)6xZ?<^ti5B7iXTNH%YS$@9Wk{cx=i8TL&zri^adzbIfAOs zkj;j6V}BA8651VH&fRs{w$V5%Z&}{Uc=h!ozh`gCqjp5GRSmK;##P&KHNUg_=19TC zqe^WxlJ&bUEK9mTh5eOK>ev&)ZoXxzoOYL(wSCjxwM1>?L^vNuZPAoS%(#Pk4N(cD zbicl}d)56)!)}ht{C0vA+^GFbR>*DTK2~oX+kdY{-V!+)z3pI}g!9Y}27336S^X!w zjf|*^K%yBdl3i}pt_7>T-TmqqFO1z&PQQGybC&EyjtVHTcj!!fqX-0#mw;z@eV~Be zDDM1P0b3ULXz+tNuL?@F^TxB;?da3J`0#4m%z*)~?*_0pd3^=I#U7m=P50)-U1)T% z=YRe(Mx9r-?_$8dsyWM5g2!HfGn^MWKGs1$SZ-hLpq4ZXGmS@0KdSboLiq6jzG89| z4CKQlwXTPS(11Q%l5INoH%MyTU(ERN0KP$T6pR_fXGf08@HE+9ZTq9qz(g2NKds!US=4eMvhS58 zJMt#-^a~f~Pvo~3U7A0UYc^e$Kam}mZhIncBKy}omOqh)p1o*io1*I&NhcbaRz{H^aa_icXGcbZRpyxVu0AAa<*?=&yG;#1#gzVguW)qc}_ z|AT9Mr@8Ou^}f?w_38%SY3|>%!+&?0H>`Qfcbe;-zxFEM?dY*ve5d)Br|$Kg=Gq4~ z`%ZJ)+J}9o`NlO5T;ngz=F(?Aero+=d1fZfrT0Ae$=&%XTH5i}#S5j!jm4B3ONMKAT5~`;Lf_MPW{lM0bZmEW)9GsWWZ07$$4llC)Q84|qVDk^9>;vFy=L_z<*_4Ms!o#sC`AjRS0CX>C{m9!l7AWt8e^D;&C9Re z3vd|+OSycw5EY^id{XG;)p$2Ab)YRjVf4Brh*5y7PBb*mIU2;H21B1M{j?Gmf|9R( z4)81QHP5}i% z66k|-{1Q_-CB_#dO@HMbjcu473gRI=_1o7S{In9T;9v#kFOGJKs;(%>pekrevPV#f zWJZVTz0U>lIn31K2bO&ea5)DTaXtZ?Y%GQ@N&@}cU@97lBAj=Q2aRz9Xb7YO)QBorNI9|qAOo^Q!bFWk++`5g563u?%*dw)QhHB158mG|tqry~DF z$ONpJSaF`EI6Cz9y9dhPLLZPm1I8jF$M%BVK0SymhUmh+rEk4j02(at%UZhxxz7fU zdixkdgfZ#;e_wWEHKalE4I+@d8Gx_`D0tX=?c0}dzD|o;B3WD_1JtzZRvbQDn!h9S zfW#na2-7^k(SLE0V(6*Y-dIzZul*;4o^V1`HS`B}G>U>2j*g=bgQoqjf9T#<%b*A3 zd>>y)>uOkwt73=k4G;uVL+CLH@*di|?W!vHFIbpw{2=H->w5Boplu{Ho*hFmZD>4Z z;NvZeFDc5`xaKPf6YK3?Q4}Uc>;W`qeJFw_-MHoHhkuIml{N-CCBYk0#1*+mP*Dtz zu!J5$ZpD=L2WwV8QVstJJ^lhLujwAZN=7L_z4nsVmK6H}s4N56k)}L&piWS1?ApBY z^1Q`>lwI#u(p}y9LPcGmg;C&z+H6(oS2Q%3ntEMt^!VfitQ{h5z;uB?Jf zIao48%pf}6+*oHQc9tGqcDYX}yC*v-Rq5>uYJ#3lIr*VZQ4GEC_M1->!g>yF9-R+Gz8f*@RA+ES*=iVwM3&VlcG=at2t9%;cLo>d-*NpHC9s-y?|96v71@^bL`=7#4&AVoPfc zK>!)IQ*{B6#MY!ug1M1}qt4MoOM#THRP zmN3?Q=r7KF{=b-x(z$Vijf`1%dr0EId zb;6O**wENuq~00{k`W`x`1RX63-gb+vOzz35wcKGlL;-1-hjj#b00oOIte|iM2#|4}VwS%5QY! zO&gi@r}~cknZ-{r-aXM5FTeADviJ`eW+77G>cjr>-JfIE$%nm$2{9A=OFLXHvBjlI zkqtWWLyLQu&{AP3RVYPLr9||=2EX=S-~A2t>#sXm01tR9!Q~>k_2aKFF2=#wumjOm z-?I1{%;^RIet%59mrX|G@N3_*_>T76YutA^7+c$goVySHfLklC{qWt_F`#P0A4H#vRR3oql0HI5{?Oue z%(lODa-IKF5E5-QrD*ryDZgefJvn8hkp8qZ&@|4j-_ttoTO@y^xOV5-?|-pBxA-AubVDu|F<;{vYRi4te~(_?me+q|@dn2JNZ&(Qyr$}L zF>WWvtwl;){Qu*X{iMJ*re0tMu-9at<8rw~j9Xd5X=-H-mMU^HP0dU({SM)?FL%*zEg$@{)nPS|B5~=tDnOq5$>-xVBuYabL-FTpo**sC=AByCU za3zS%a5@*5{T=pKR!X@@qEyY_TlhnXR05yJQjANLl7|vp{()R(CsT-QKtjUFGb6J< z!-RGsIWARxAW}YlUu27eh{>^JHvFC3V&GV_a>O^oFa!KEP*~y>j=`o%6gO>T3S11@ zszPQ=%)W#1#ecX$BFDw(Qroc;@j|ojVkVDp`0CZV zv8qS!;Wp^is(aMO#ovRcdLr#~0bcD%9V{~wm5l-pK{F#Juqk$$&YKBxOcR>@0Q<5o<^_xcBbwesv-%J65w?boBX5YgExKwO; z_a?l)L?#6pO($z?)IP^d@MdB8rAQ)GfbrJwSp&20 zVgl7Gcu%Gp6;bosU%)QJzmzD!^OdtH0UTA9cfnjOkopjaa)=EE6`ZhlZC;^rlrZxT z)llThGkYDohFXm*gQZkG%a6wz0{QTXAIjv`I2?uA(flj0I^Vo!3Y+$YNTLKyJ;5c0 zs41#Z3+6ZC`wi4>wG=BrVbFYmP=9Mi)Gv4|`>b1NCcunDVzH$dY?K$dn=;?;m%#h5 zCIT5=^6Ukvxo2MJcPsMiiuO(pM598Y9usI54Z8a0uwXltGWc&XXpNimWy5<)6uJq2 z1HL0%4C1rhW(?uHe}qeMxk!oMl-b(Jr11H2$|@Srtb@Z)Wjz%jysKBV?vbXvV_~q&Uc{w|`)E z9s8lx7msl{uImF!#Vz$<#ec=%Ja6>daC9q_qDQz`WpTAn?Yp)zD1c9`eQn@yH|@X( z{-m|RAnPFcITsEzrA#*!Z;EVea53o5xk}(cbM~e=h+Fk2;HXBewzGq#(tFV~fNl}+ z2=Z`X!*{5_aq(R{C0GsapX0+*tf5I(4U6Y;61iMyqxO+NfKSK&MlQAX`X^5(5;)L+J+u)imADkRrTQKqI}M#kDGt3{ z*pFbOs;w6Ke+~QxPi#KbT(6|8_W}Iv3yE0ySj7h*C+olf+672Fzm>=p$WeYzqOcVy ztsjGxzP+f1<7EQ~ZuZ2`-M?_j%B6Nfh_L zk}4nL3dtW)k5+aD28F)d*l$od%&w6I9>J$&{9h4W-D{hf0FKLgnUfVR}b(T zV)2JEl`jTesP?6a6AoH?Y;3f>u{c@1!J|39VIh%OrZm?%Z)2bkIkwuNh(_7rqSrGc zMq(L1PYgGpQ-o9Kz6%5wp#rU3H>|C3g+e9=e_6-*cj2IbqXhW7A1rG8^pD|C1+l() zeJt2La{M7!Y|Gg|PJ>uG$}Wblyj08R6NN+fUW&6gJfF_nMO6f6(i2 znNMBE0`sC`jEP>K!*td%3*KElpsmb7#dKGW5KZ#0>{KISX{oV>ONNw6mxFeMt|A2- ze-L*cf^hfxbq)z;i}GgNg>WKSX|8lr20dz#tpfBo&$aWYFzvp6c1(2Z1h55Gwi2nOe;C9@_J^3j_pZQ|H*9QF?Nor})4&uVYS<&G zOpf2jKLg=o4Rr?48WEi-*Wos`OZ^WLh2((^{#&`sR^^d^w(!VI)T!E81)_$|ciGTL zJP?UBMsA`byct6>0%3OeIUPHj!kKSw4*c?`omg-h5-jlVa2q>Z4jhAPBTRIzJYUar zO*~>v+b0SLSyCJvEU(Vu$v+1Q#tY z+rtaFXp`M5B!BAZENkOT&N%WX)#sxLSsmx>g#20E!UmEnHzv?q({?IIb2#e}!g)(G zMD^{@7dZOBEqQC^#Du`&-f^+^ERrW0mwDpE&QAR^%5>69Owbvg&QGcD#*ny@u+v>7NQ0e^k@K;W?noq$d@`%~F8H@j?W zvzpB)MeTOAoMCWPh4b+CXHO)bi)>)KPJO1G;dmpV=#od`J8%h7FC=ipT1b=%u;W~` zEr1u1&Jc=0OGSM-TE%UkMJ_y`p}Z66vE4H3PNavNX_uq*FDdOe`AHsQ=mJek$@`)D{&S_`A7s z&3=r1Uo92tCYo9+bRC_K<-dcxN6hCs##eiqH)V4C+T~9*oJ0Ki^#eB6$0i^7Dv0$e zh=28UxC0|OY&2T*fzFSZ29*wR4$=#K_zcoTOh9TnTKpwqE4kurE3|?g4KMi_k`=1V z$@MCI!c6m2S_X1rzj$CJ#~)eAA6k8ZOTp$uaHT$ zyxyfo7fg#dtHpii#*By)RWRyAMV!*){&FFMCfV2*T0zyeo<1*2HG(~5i`$|n(SLMG z*QjHe@K1_Og=ZIsAg+|-j~+@OKY6-GbBNde;(=-yw0EbS3sL`~u9Cu4>tH(I6uF%` z0Y2>DB!tHh)Y=|hFEu6LRGMe%q6uB~AF8T3=8`GPJKjE?MWn2)*78|nx(x2?KGA?^ z6b=*Ea*3_2mBLB^8yfAj+HI)8EPwBS$w>r!Xq5i~J)YGAP%zgyisUSK{vT}ZtRC7t z0z;|GTZZtd;g1jG=r=$2r7B|-lM|zRJOGQ{Zf9s6BwP`M#5qlx&@d~}xv>rM5ml4k zUq1Z}94zWeUdM8TC5Yveg@c(Frj7AU_omzvd=zl8Qx2 z5$GM;xH8r+QTk0!Yza_+P4x zoejjFnl}wPo*e`UNkm$A($dJI5$RmX8sF?^Un9HcMHR%L2h>KgH|$*pOsM+vk+W~A z5fN{D6SDV>$mHtYYTsZ=(R??eqhc@;yRP~N)u^nhSYuWDW78V>RDWb$p58s+5|Fj> zbhCj?Apy(*1S>85N)wi@}YX+(EN0i+`ewAH4&OMfFTQOBEY9Aap$)Fs-1M>S{?)h*i=+;jMh*$xrmzA-#Fn}}!W z%*n!Wd8DZsA7e{waa-`T(dQ$2IC*4cb_wB~`Y|P-rZ@QoVrwF^zt|thK+1v2!f&2d zj3CRexv_fX?@L|uKrw60)l^Tg@hme}sEt#4HpdksXwbZ0^^^B85M@q43`(nR}N z6mKLf`Otg1^18@p>5~L5Tbk2M36elge$p@+464;BIyOB|s4wyz(H(kf${Zt|iMn*- zl9YvVkHjlO*j6zduqg)_J*wEVYNdsbZS`36N0D3%+_ug$xLObJ7w8#$Bl2sx>iAh= z!?OhBViC+bJZ2`ib4&n#N%Bo|kmogk+&aSLL3VEZ7N4k69Ib?8AOTOSQfxW6cNr2K zXLMeZV_`jqrd^b6ZKa|BndM!OFm$vephO|}2Yj7ON!mu=N@=m2roGyTrxiwF-wEguqslWEeVj}o@6A<$KvgeIx(uqec#_5)UF8uD|G|*Md%_Mtw|+C9wxn7HyyMGL4ztX;3hyv|Zg7-W_{`(bw^ zv}>G7)w5It&NU)UM75N_;ToLS*2;s!75@x$%y_*=l~GEU+t&H?1f9vnzaDxA* zo?pG;$ZTJ~4xBt{f(=MQPW19h8Oi}sdl#yqo?lwYB561kY0c|!{!ubF#^>1YAlAi3 zIM{crWwy2muN6x96J*g9*J?Q$VTS3?ub;E9pEI9G)PHFpard%bcAN^mfA?=gKKKiZ zpJ67XMiOKM)ibDbDofp4+E@f=?@ApkL(wXW_iux+j?!?RmUefh68ey#y?YP1H6{*1 z6K8ni)-8~Jk;jLp{QkxrP*m;aZ%BZ^Rky=cgk|?XvH;;vkNhz7k9&7OcSPf`Aq}2J z`uzscjDNlVG1wS-TET{n%#HVNSpuKlivL9;oiWGVG#@pM|N-{rVqMM zj>wH$w=BVkr_YKZ%^?kN2p=|rv+eiyz{G6BKf=fQ*XTYqR1CJ9PXLyFM2>Rpm<3|p`2 zBrvmZwa#S_9s_o^!_?<$z4OBOU}vL0Nnc#7tjRDSD};gd)x~@Ug)pEkp_8Z(ZucD) z8PFBNg6z#&ibCi#22%$K3Smy{p0^=gA*`ud?8P)HU|MZn6-HZOE;eJ+Q2>x4&wnj8 zxd)JQ^;MixF}OdEyQRk(^caho>% z+I-QcQ>8_+kY(rqHg7X6m=vdFYB4Er6-0I-D-Jd&((mWTeTF;6mztS%&vX5t07Te39H?7LBVBnbKqMu;b2P9SJr*z4Vd+nsF3~uLSk*UXTcnD z3E{)Ev?XPkGO8`^E91co=@kJB3E4b?u5y?fUV`b>RdSDVD_9g=Ww*XN&WKT0DRN$4 z)+5~h3KV)(#G0B3w2DW5aetkaPVjIY>pbV`6FgQ2*JEKQh(YjhpBXeJd4b&pxj|g5 z-f>Xq@6J){7lDzb`Dh2no95uMbw7?r)Fe)_U&I)YG>Mau%PKxiewbhA)ouf6aji_n zDDuNlUqlCwT`qw+0o2hy0PQHh7KXIkEIA3UM9LL3Bl-)qf>o##pB)%wG6! za~Tzd;%Bporuq~G-@}c0NP}0+p}$_J7R4 z-lN35j5rGHa{orjY%lCc{ zx(^ChOth15Iv^qryePcNC&2qWbQy8J>d`>ZVDYJ`?k4xaX8+SfWWn#DMw7BSEPp*Y z>>1e3{rcrXp8(I+`AwGgq90j+qR`VIKG~-zc6Z-G zyU{E@vaq;w8}w|orontSvSSc3Qh!$f)ltsJ7FIXzd<16a@NBb#Jy4l-+_Lrisx=(#|>;JLOTP?tEG?P@^VSKl-Tb?YZ#NZ`Kz z;f;GA!dG|?#OB7G8Io3*?PnvU0?iQr1oZnarV>VRQTB3&B!uk5I!oGVj?NRN9@9Rs*xVy<2P`;@640; z7oKJh$FZ1%kLO9l8$zw{F|xjuB_s+To?A|xe6sMd>ow+YL=`@qH>#VAwZcb^_t+wz zQTWKpnsVn-gpbCEO?wVO_{eT4Phr{xT3F(~1VtF4@Zo;C^6sCcd)V)+R0_ztM{vDBF~sQQx<}Myc^h)~Ne)1M`C1d3qJO@9igvPVN!c(~pSo`H+q z6>|BA@zC8hE>-r}7;N)4{@Q$G?P<4-h^Uea&c9h8Io>*hDj}q!x z=ftAu9+_c-qkNL?@nU7INJmQQj&V7vsWK(#9;+#CiS)`5xe*Dzrc~i0up{^qBM2Y) zBf&>67e11Y{eL1@MB!tzq`U@W6h3lFmyd;7;Ulu*#U2-xIUorim0k&NQl*WW(YXtP zv@ufD?rEr%HrCw(vW-YP+Oy(oOx0U`^Dm2jM^-jEGY9fanU#$OkAYU9&M8YTJ;`Nj zl#Q*0;#LzzWg{TLFVKLlY%CR>6mtm5#`eruI7_dx;eWKd5zV708#M`ai6)H7MoCtE zy@@_$V<^gL07=4XWuvS6Oy)3lOLICOw%@;G7@JHc3a*BQ>BaP zJc_na8`G88P?o=!3%iW^%#-pd9I;zn(FZGfgc z&DB=e5k?g^QvB+xjOgOV!pvwFO0gg>x9wS5S!kuyiGLgRot+0926bb5D>y{JtZr;q z^(|<&oiBz5MVpWud)Ex-9i(C_7^KmzZV!L5*WZ}paY(NNaT3$xDSQXHQdssI7+*n1 zu#b6zd(?D{_ID`g`#Xq7zVi8B`TWv<$^H(K$NwXyL8bHe&jYRwpdU!}_Z#{H-yysE zX+4em27h|o{esnq9Ycbtf^6I-h zGgf$79!PhbOC#|>WkY=chs*=9CkIYQHqh?VSjY~`FrgBH`(YExOZzxu_KtpHXLwwU+Gn@hAl0(0_lDgoFuAcA-mLRgED7LTtIY?;{JO z&2ie#s~=F+&(J}IXX|J=_fMjVjOylHW8!X&X{WU?WVAJjS*^}u3x;@W_XrF%tGOdF{jkKC#vM^p zu7A#a2JQ%c-Vbxq86kymfR?NZNJ~;k6gRrJzXOvu7o=%4}s>8AC9GlMzLtGwM`( z%Qb7S+f_yu4C#{1^ zUY%*_(U+3NMjPAFPK}7z#Y|$7e$-U)h3QK<0TvVK?4@^n&BKVuDb)_!eQZWfc^Y%r zYoLD%(0UI0APgsSirc|T3KFk1+qE*+k9}x6;h$|J+rVb3vpv1zb_NRz7 zRE{jTT%k?@?TC5KWyG7M(er2)9dBL)Jw1P6L2+D@5Z{vchF-dH?svX2rqIoFm!}s( zUfm|3^@&b@9wYF0mCxF%Hnu5L8!(CvhD!L_ocEf%o-#cpB7Ox5`(2KSrRRF*w zB_b_JE>`tdWLVZN_e6%t{w?j#NhHKfp3){@>n-P`g z{_fwaR(N%?_w$8%4@9t192|~%4{+&-mA7C&#wQ}yOkHFk+Qh2acU00@I4WRZuGIjq z1XThE%ztih-n&8_DOalA)u6|S4_BSWSrD-D36;nk4w|AEh-_meYYEvYviE<|b=kSb z)24*`re_!M$b6PowAW(POfsFcY|U7>gC5s)OKC}XwrYNTXR@Y019Yos>3A2*t5vx#~KqV)1e*Fl^=@SIM~j=icn9NO#G*n2jT%8wOHP8S-(Y8VPV z)i5?{EOQ_shNHhi*?>#3uPJ|}LRq2zr6-9a zGcuh<4E0nftKTSyg8ZYcQ0CHD@gKG17%=5G&0{3R6#tkQ>hAp=$9+{KOk1EVW~zS1 zkf}h~%1NhcJ1((6SzSVvKU#@@{TndmRO)3yEKufC;WI9v7buJNJDm^`_-!S5@+n3J zwGB7d9S#Z9mR&}L^a6inT?GMaeC7gW%l*Oq0u94$wjb`X=>^J$QVx=g^cE;P>YaSX z)>oh`u*c_+Pc2XuQJ%QMrlDd$=A5g5#&uN#g);{90%coA(}jkNaM$kYTqLB!UE6q= zCzqi>*PA)d>j&%Djdea!u(4%0lPzi%rM{ z$}%fA99dK>YpC}ADWq_w0%hJ~adzbTWd0)&tvpJ7vYa{hGF93zVR9@6mpExMe6l(_ zow&^P$vR>RVp%#mo5>mS7Lx0eU0t<|^2qhcns#S>7{Y%{VdsASX!8Ja9fvNblaa`$ zrZNYw;spb8eKMao`&!{QuTPfe>d}Z%>yvpV6pa{C>XQ|8W;CCZW`K~C=A28R)9n$F{& z88g%;dy!tKDnLTynX=BxAR$wMGM~!57>uDn+2LWHyNR9xW&MRQ?OetJWqsXGU4-NU zWlI536Q)cB$^sIcN;R2W*=HkdNO4dVC<|Cg^5K8cDwO5e_f(+y@vb=t>5Qvl`i{a} zz{ajn3rEhG9Zg2s3S}qN2@7m$g|f`em?REEg|e)u@TZyzW$oLWS4f*7a#UB)fdLtX z_S(Z&c+6mPI=TNGg*UHX2c8Zcn?e%UoDB!p2^lMtO((eanvjsE<}l-gOT(Ln$k+uF zVugRQ{_?VY(|3^nh&_E>>ucW9`I)C}}h38_32T%Hh#YK+Q}UKEsHI3v)H zHzS*Y9Rdp8O!;RxKs40iP5or>KkA{IC=Zuy6r<75&Cy!-1XqhUAqS_OCX9Hq;Tq}z z9SI`dWX7I;Orjg7vGz?46WwHPES74vD{B4u`RM!^l#~1G-+)8Eq^HJ2x~VVNY~p{> z>1MoaINz8+Hvvbn+Z4K)-VWIy6$ZP^4~Q|L(@oHPM2?>F%Ra&R{U#c^c^i zq*FUQ5QOwF-5dj9O=qiYM*2z3h(CYg(dnlmw`mWJZVLT4uDGKbN| zV9B#BXMm%Fr3KHJ9X=J7#+R#;g=APN^D3?65@5+`eK(v-ho!-1Zh4ey&O4P()hH*B z2uo3MJF$ApId`1QobkxGltnn)p^%=H8?!GcM(NRUUJ7 zxK!si-OMB7(%?Z%HwBmGD?L*5XogxNnw_fJ^0ZUbz%pay{MZ;(v2o z8rpKo<5O{|qc17Zl#E7`eM^&QPScFoMMC11fj%@ka><sV1XF&*w=nSy4+y-8<)hO#-8o1K$*+*O0(yDKSb_I$BFc z6-jVgAdQSFm-dEvG%`BRb`da=QF!!14vT?|7Rrj9xKuLgEv_77F_F=@Q|1s;>E_tM z^lbw@WVCxRGHJv}Mx}oj0W|_L86CJS=5UzEsN?de0MP>c^2|wmH%CWC{ZIEt&~7Ud z8I9$2{%189l{ltOGHpYw-Je)D)}qkdnEL^$VNFD#ye*Hr3?$@nJ{pNCiQu1sB)^y# zG!cm;k4mq#R_S&y7H);q|v#OB|?UQ>Iax{nBU={_&2(DQjw+BvT>_g-c0{mZ{q zsi1ydRL}T&^?A{MGoKeFzOtW$+ULY`;Fls5%wLL3%}Y%(X3Px=Xlv>vReA9X9P?1Q zlp1TA>X6-vk#c{po{a|_av1sSpsKw7Ppk19G!k3HRC3PA#jD?x>HDJx=bIWCY$4vK z-++`h=WOo~M*IHg>}*ayMqBIi>xTwSXin>VYJ8HAn&no1nComp%_E7MdOFP^trby& z9=+5}4_+>#)0EE!WbQ1s8Bo3KgR6rS=C3|%w`A9`s2_hI?ao{&<7huXT6|iNO|E;J zIyzhk-w;DC)k??u-;ooqmM-S=Q8bj27BRHZJHh#y@-b7s}m)IOO zg%Pt%N_zU|nQ1V(c6L}mkWoSoO7htB#5KRr-3W~C8IQG9lI zgSQffjOcl-zF7sk#7!^5vG(f%GW(scxy^FO$+V}J(=moLG@tgWe?czA)>qTDM=$<; zHsX*j{HA+0R(mTdc^YlPtFOP6Pfyhu>^h7x)|Y>I<~UQ?#?zZ#b=2ZsNZkt;zIsuC z6cBPAuYdUTKWlTf8+#`_`PvM`n!V9}4gp=8c8@B##GJ|4M3UZM4;AUEY?66N&~ z)TQ*sxOGw%qkm%k313ep?S?~M3{M~I6b!G-akLuO_*s2F>FY8V1p^_PHU_}_*V8Aiy?b?-aes(DKEUPGoqSJb6@@APQv&^tzzMY zQdJ{;qH_q)T zdZG7VK%^=83!_Q36X$F_+aD5~lJ`(W%QwwI(`ZbtkdnUN->{d)(tcs|$o=WODN&59 zyX=Ui*7luR8T2C*nof>O{I*)mNEkXf;810hfrYVdrh0X0r%}Gv$~->K-`y+<@=JP z_|<`AcSEKfBDuX|@o0mlYUu41AD>fe&G*fE?F*^OpWpG~3$)B!zYgq|_9qSAL7o}r z{<`+tj)Y*t9_{<0^ne%rtXBcAe>Z=$_OBlB((`@Mmjt}JPLhyvrU`g;4<>|h$N{hL zLzg2|xI`O|8j2}>VM6fKaymng$fXzBt6Tcm)PPs?QcpCW9`MSxcdu6MxzyfZ$E!xQ zl|U2l$~f%V#TWu!>pi~3M$~{;dr0E}n;P(n&8=A`1iV6v9fA$@2fV6Eb_Rd=lz>&)OcAfT;>!gtHR4s`yRE`f(KwY8)yt8{)g}kLylPeg`FbN> zu5sDz2KpjiGf&HXSk#Eup~pfwJ)N(i**--Z@p?WUnnM3l&799b7mFV88eH$2!2*)6-z2jd)cgjn$!SG;PGI|D=Cvgrf<0wI4Us z8Iwa^&j#ZrRLd?giOpSM?j>gPz$?>lAi_}E4RhMSMj;m^)>#b!`&@ink^`^%9lJWr`jO5cM&z{8^ z(BoYpPAko5hc161-c>W+n~th)zG)7s3+hxG;50P3G&NU6uDDqrzmv+MsbF2bt4Umv zI8e3jur8$2)IomEI+y0LJNi!4F@IuXC9r*j%@FcxT8|0Usb8AvL!}wj9Hx+$Z+dx< z0Yk_uBq?cmlP9S$jddQ zq+4BHj}Y?O&2YFtzW=-CpsS#2g2y0g78J!CA}T{Mujn)Xbc9vJm{)(NuRFqDO3 initialChanges = InitialChanges(Changes(added = Set(), removed = Set(), changed = Set(${BASE}/src/main/scala/sicore/Core.scala), unmodified = ...),Set(),Set(),API Changes: Set()) +[debug] > initialChanges = InitialChanges(Changes(added = Set(), removed = Set(), changed = Set(${BASE}/src/main/scala/micore/Core.scala), unmodified = ...),Set(),Set(),API Changes: Set()) [debug]  [debug] Initial source changes: [debug]  removed: Set() [debug]  added: Set() -[debug]  modified: Set(${BASE}/src/main/scala/sicore/Core.scala) +[debug]  modified: Set(${BASE}/src/main/scala/micore/Core.scala) [debug] Invalidated products: Set() [debug] External API changes: API Changes: Set() [debug] Modified binary dependencies: Set() -[debug] Initial directly invalidated classes: Set(sicore.Core) +[debug] Initial directly invalidated classes: Set(micore.Core) [debug] Sources indirectly invalidated by: [debug]  product: Set() [debug]  binary dep: Set() [debug]  external source: Set() -[debug] All initially invalidated classes: Set(sicore.Core) -[debug] All initially invalidated sources:Set(${BASE}/src/main/scala/sicore/Core.scala) -[debug] Initial set of included nodes: sicore.Core +[debug] All initially invalidated classes: Set(micore.Core) +[debug] All initially invalidated sources:Set(${BASE}/src/main/scala/micore/Core.scala) +[debug] Initial set of included nodes: micore.Core [debug] compilation cycle 1 -[info] compiling 1 Scala source to /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes ... +[info] compiling 1 Scala source to /home/gh0s7/project/ddca/micore/target/scala-2.13/classes ... [debug] Returning already retrieved and compiled bridge: /home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala2-sbt-bridge/2.13.12/scala2-sbt-bridge-2.13.12.jar. -[debug] [zinc] Running cached compiler 486ef6c9 for Scala compiler version 2.13.12 +[debug] [zinc] Running cached compiler 26d066fe for Scala compiler version 2.13.12 [debug] [zinc] The Scala compiler is invoked with: [debug]  -language:reflectiveCalls [debug]  -deprecation @@ -33,22 +33,12 @@ [debug]  -bootclasspath [debug]  /home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar [debug]  -classpath -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar -[debug] Invalidating (transitively) by inheritance from sicore.Core... -[debug] Initial set of included nodes: sicore.Core -[debug] Invalidated by transitive inheritance dependency: Set(sicore.Core) -[debug] None of the modified names appears in source file of sicore.TopOrigin. This dependency is not being considered for invalidation. -[debug] Change NamesChange(sicore.Core,ModifiedNames(changes = UsedName(rs_addr,[Default]), UsedName(imm_j,[Default]), UsedName(alu_result,[Default]), UsedName(opcode,[Default]), UsedName(rd,[Default]), UsedName(address,[Default]), UsedName(rt,[Default]), UsedName(imm,[Default]), UsedName(rf_wen,[Default]), UsedName(op1_sel,[Default]), UsedName(shamt,[Default]), UsedName(mem_wen,[Default]), UsedName(rs,[Default]), UsedName(csignals,[Default]), UsedName(pc_next,[Default]), UsedName(is_jump,[Default]), UsedName(br_target,[Default]), UsedName(imm_sext,[Default]), UsedName(rd_addr,[Default]), UsedName(alu_out,[Default]), UsedName(exe_fun,[Default]), UsedName(br_flg,[Default]), UsedName(pc_inc,[Default]), UsedName(is_branch,[Default]), UsedName(rt_addr,[Default]), UsedName(alu_op2,[Default]), UsedName(jmp_flg,[Default]), UsedName(funct,[Default]), UsedName(branch_target,[Default]), UsedName(imm_i,[Default]), UsedName(op2_data,[Default]), UsedName(pc_plus4,[Default]), UsedName(wb_sel,[Default]), UsedName(op1_data,[Default]), UsedName(wb_data,[Default]), UsedName(alu_op1,[Default]), UsedName(op2_sel,[Default]), UsedName(jump_target,[Default]), UsedName(imm_i_sext,[Default]))) invalidates 1 classes due to The sicore.Core has the following regular definitions changed: -[debug]  UsedName(rs_addr,[Default]), UsedName(imm_j,[Default]), UsedName(alu_result,[Default]), UsedName(opcode,[Default]), UsedName(rd,[Default]), UsedName(address,[Default]), UsedName(rt,[Default]), UsedName(imm,[Default]), UsedName(rf_wen,[Default]), UsedName(op1_sel,[Default]), UsedName(shamt,[Default]), UsedName(mem_wen,[Default]), UsedName(rs,[Default]), UsedName(csignals,[Default]), UsedName(pc_next,[Default]), UsedName(is_jump,[Default]), UsedName(br_target,[Default]), UsedName(imm_sext,[Default]), UsedName(rd_addr,[Default]), UsedName(alu_out,[Default]), UsedName(exe_fun,[Default]), UsedName(br_flg,[Default]), UsedName(pc_inc,[Default]), UsedName(is_branch,[Default]), UsedName(rt_addr,[Default]), UsedName(alu_op2,[Default]), UsedName(jmp_flg,[Default]), UsedName(funct,[Default]), UsedName(branch_target,[Default]), UsedName(imm_i,[Default]), UsedName(op2_data,[Default]), UsedName(pc_plus4,[Default]), UsedName(wb_sel,[Default]), UsedName(op1_data,[Default]), UsedName(wb_data,[Default]), UsedName(alu_op1,[Default]), UsedName(op2_sel,[Default]), UsedName(jump_target,[Default]), UsedName(imm_i_sext,[Default]). -[debug]  > by transitive inheritance: Set(sicore.Core) -[debug]  >  -[debug]  >  -[debug]   +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar [debug] New invalidations: [debug] Initial set of included nodes:  [debug] Previously invalidated, but (transitively) depend on new invalidations: [debug] Final step, transitive dependencies: [debug]  Set() [debug] No classes were invalidated. -[debug] Scala compilation took 2.296694362 s +[debug] Scala compilation took 3.12228093 s [debug] done compiling diff --git a/target/streams/compile/exportedProductJars/_global/streams/export b/target/streams/compile/exportedProductJars/_global/streams/export index 671555b..26fe379 100755 --- a/target/streams/compile/exportedProductJars/_global/streams/export +++ b/target/streams/compile/exportedProductJars/_global/streams/export @@ -1 +1 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar +/home/gh0s7/project/ddca/micore/target/scala-2.13/-name-_2.13-0.1.0.jar diff --git a/target/streams/compile/exportedProducts/_global/streams/export b/target/streams/compile/exportedProducts/_global/streams/export index 21cdc14..3c15dcc 100755 --- a/target/streams/compile/exportedProducts/_global/streams/export +++ b/target/streams/compile/exportedProducts/_global/streams/export @@ -1 +1 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes +/home/gh0s7/project/ddca/micore/target/scala-2.13/classes diff --git a/target/streams/compile/incOptions/_global/streams/out b/target/streams/compile/incOptions/_global/streams/out index dae7507..feff054 100755 --- a/target/streams/compile/incOptions/_global/streams/out +++ b/target/streams/compile/incOptions/_global/streams/out @@ -1,11 +1,11 @@ -[debug] Created transactional ClassFileManager with tempDir = /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes.bak +[debug] Created transactional ClassFileManager with tempDir = /home/gh0s7/project/ddca/micore/target/scala-2.13/classes.bak [debug] About to delete class files: -[debug]  Core.class [debug]  Core$$anon$1.class +[debug]  Core.class [debug] We backup class files: -[debug]  Core.class [debug]  Core$$anon$1.class +[debug]  Core.class [debug] Registering generated classes: [debug]  Core$$anon$1.class [debug]  Core.class -[debug] Removing the temporary directory used for backing up class files: /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes.bak +[debug] Removing the temporary directory used for backing up class files: /home/gh0s7/project/ddca/micore/target/scala-2.13/classes.bak diff --git a/target/streams/compile/packageBin/_global/streams/inputs b/target/streams/compile/packageBin/_global/streams/inputs index 35c218e..f25655c 100755 --- a/target/streams/compile/packageBin/_global/streams/inputs +++ b/target/streams/compile/packageBin/_global/streams/inputs @@ -1 +1 @@ -175918183 \ No newline at end of file +160837087 \ No newline at end of file diff --git a/target/streams/compile/packageBin/_global/streams/out b/target/streams/compile/packageBin/_global/streams/out index 873cb34..e08e3d0 100755 --- a/target/streams/compile/packageBin/_global/streams/out +++ b/target/streams/compile/packageBin/_global/streams/out @@ -1,57 +1,73 @@ -[debug] Packaging /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar ... +[debug] Packaging /home/gh0s7/project/ddca/micore/target/scala-2.13/-name-_2.13-0.1.0.jar ... [debug] Input file mappings: +[debug]  gcd +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd +[debug]  gcd/GcdInputBundle.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/GcdInputBundle.class +[debug]  gcd/GcdOutputBundle.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/GcdOutputBundle.class +[debug]  gcd/DecoupledGcd.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/DecoupledGcd.class +[debug]  gcd/GCD.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/GCD.class +[debug]  gcd/GCD$.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/GCD$.class +[debug]  gcd/GCD$$anon$1.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/GCD$$anon$1.class +[debug]  gcd/GCD$delayedInit$body.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/gcd/GCD$delayedInit$body.class [debug]  common -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common [debug]  common/Consts$.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Consts$.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common/Consts$.class [debug]  common/Consts.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Consts.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common/Consts.class [debug]  common/Instructions$.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Instructions$.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common/Instructions$.class [debug]  common/Instructions.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/common/Instructions.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/common/Instructions.class [debug]  micore -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore -[debug]  micore/Core$$anon$1.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core$$anon$1.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore [debug]  micore/Core.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Core.class -[debug]  micore/DmemPortIo.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/DmemPortIo.class -[debug]  micore/ImemPortIo.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/ImemPortIo.class -[debug]  micore/Memory$$anon$1.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Memory$$anon$1.class -[debug]  micore/Memory.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/Memory.class -[debug]  micore/TopOrigin$$anon$1.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$$anon$1.class -[debug]  micore/TopOrigin$.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$.class -[debug]  micore/TopOrigin$delayedInit$body.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin$delayedInit$body.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/Core.class +[debug]  micore/Core$$anon$1.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/Core$$anon$1.class [debug]  micore/TopOrigin.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/micore/TopOrigin.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/TopOrigin.class +[debug]  micore/TopOrigin$.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/TopOrigin$.class +[debug]  micore/TopOrigin$$anon$1.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/TopOrigin$$anon$1.class +[debug]  micore/TopOrigin$delayedInit$body.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/TopOrigin$delayedInit$body.class +[debug]  micore/ImemPortIo.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/ImemPortIo.class +[debug]  micore/DmemPortIo.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/DmemPortIo.class +[debug]  micore/Memory.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/Memory.class +[debug]  micore/Memory$$anon$1.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/micore/Memory$$anon$1.class [debug]  sicore -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore -[debug]  sicore/Core$$anon$1.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/Core$$anon$1.class -[debug]  sicore/Core.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/Core.class -[debug]  sicore/DmemPortIo.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/DmemPortIo.class -[debug]  sicore/ImemPortIo.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/ImemPortIo.class -[debug]  sicore/Memory$$anon$1.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/Memory$$anon$1.class -[debug]  sicore/Memory.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/Memory.class -[debug]  sicore/TopOrigin$$anon$1.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/TopOrigin$$anon$1.class -[debug]  sicore/TopOrigin$.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/TopOrigin$.class -[debug]  sicore/TopOrigin$delayedInit$body.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/TopOrigin$delayedInit$body.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/sicore [debug]  sicore/TopOrigin.class -[debug]  /run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/classes/sicore/TopOrigin.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/sicore/TopOrigin.class +[debug]  sicore/TopOrigin$.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/sicore/TopOrigin$.class +[debug]  sicore/TopOrigin$$anon$1.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/sicore/TopOrigin$$anon$1.class +[debug]  sicore/TopOrigin$delayedInit$body.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/sicore/TopOrigin$delayedInit$body.class +[debug]  sicore/Core.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/sicore/Core.class +[debug]  sicore/Core$$anon$1.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/sicore/Core$$anon$1.class +[debug]  sicore/ImemPortIo.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/sicore/ImemPortIo.class +[debug]  sicore/DmemPortIo.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/sicore/DmemPortIo.class +[debug]  sicore/Memory.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/sicore/Memory.class +[debug]  sicore/Memory$$anon$1.class +[debug]  /home/gh0s7/project/ddca/micore/target/scala-2.13/classes/sicore/Memory$$anon$1.class [debug] Done packaging. diff --git a/target/streams/compile/packageBin/_global/streams/output b/target/streams/compile/packageBin/_global/streams/output index ccc866e..4e9041f 100755 --- a/target/streams/compile/packageBin/_global/streams/output +++ b/target/streams/compile/packageBin/_global/streams/output @@ -1 +1 @@ --1359482768 \ No newline at end of file +1488086236 \ No newline at end of file diff --git a/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export b/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export index 112ae53..ddc45ce 100755 --- a/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export +++ b/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export @@ -1 +1 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar +/home/gh0s7/project/ddca/micore/target/scala-2.13/-name-_2.13-0.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar diff --git a/target/streams/runtime/exportedProductJars/_global/streams/export b/target/streams/runtime/exportedProductJars/_global/streams/export index 671555b..26fe379 100755 --- a/target/streams/runtime/exportedProductJars/_global/streams/export +++ b/target/streams/runtime/exportedProductJars/_global/streams/export @@ -1 +1 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar +/home/gh0s7/project/ddca/micore/target/scala-2.13/-name-_2.13-0.1.0.jar diff --git a/target/streams/runtime/fullClasspathAsJars/_global/streams/export b/target/streams/runtime/fullClasspathAsJars/_global/streams/export index 112ae53..ddc45ce 100755 --- a/target/streams/runtime/fullClasspathAsJars/_global/streams/export +++ b/target/streams/runtime/fullClasspathAsJars/_global/streams/export @@ -1 +1 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar +/home/gh0s7/project/ddca/micore/target/scala-2.13/-name-_2.13-0.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-library/2.13.12/scala-library-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/chisel_2.13/6.2.0/chisel_2.13-6.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.13.12/scala-reflect-2.13.12.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.13/4.1.0/scopt_2.13-4.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.13/0.4.2/moultingyaml_2.13-0.4.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.13/4.0.6/json4s-native_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.10.0/commons-text-1.10.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/data-class_2.13/0.2.6/data-class_2.13-0.2.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/os-lib_2.13/0.9.2/os-lib_2.13-0.9.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-parallel-collections_2.13/1.0.4/scala-parallel-collections_2.13-1.0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle_2.13/3.1.0/upickle_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/chipsalliance/firtool-resolver_2.13/1.3.0/firtool-resolver_2.13-1.3.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.13/2.22.0/nscala-time_2.13-2.22.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.13/4.0.6/json4s-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native-core_2.13/4.0.6/json4s-native-core_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.12.0/commons-lang3-3.12.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/geny_2.13/1.0.0/geny_2.13-1.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/ujson_2.13/3.1.0/ujson_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upack_2.13/3.1.0/upack_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-implicits_2.13/3.1.0/upickle-implicits_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/dev/dirs/directories/26/directories-26.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/scribe_2.13/3.13.0/scribe_2.13-3.13.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier_2.13/2.1.8/coursier_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.13/4.0.6/json4s-ast_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.13/4.0.6/json4s-scalap_2.13-4.0.6.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/upickle-core_2.13/3.1.0/upickle-core_2.13-3.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/perfolation_2.13/1.2.9/perfolation_2.13-1.2.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/sourcecode_2.13/0.3.1/sourcecode_2.13-0.3.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-collection-compat_2.13/2.11.0/scala-collection-compat_2.13-2.11.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/outr/moduload_2.13/1.1.7/moduload_2.13-1.1.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/plokhotnyuk/jsoniter-scala/jsoniter-scala-core_2.13/2.13.5.2/jsoniter-scala-core_2.13-2.13.5.2.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-core_2.13/2.1.8/coursier-core_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-cache_2.13/2.1.8/coursier-cache_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-proxy-setup/2.1.8/coursier-proxy-setup-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/concurrent-reference-hash-map/1.1.0/concurrent-reference-hash-map-1.1.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.13/2.2.0/scala-xml_2.13-2.2.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/coursier-util_2.13/2.1.8/coursier-util_2.13-2.1.8.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/get-coursier/jniutils/windows-jni-utils/0.3.3/windows-jni-utils-0.3.3.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-archiver/4.9.0/plexus-archiver-4.9.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-container-default/2.1.1/plexus-container-default-2.1.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/virtuslab/scala-cli/config_2.13/0.2.1/config_2.13-0.2.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/io/github/alexarchambault/windows-ansi/windows-ansi/0.0.5/windows-ansi-0.0.5.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/javax/inject/javax.inject/1/javax.inject-1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-utils/4.0.0/plexus-utils-4.0.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-io/3.4.1/plexus-io-3.4.1.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/commons-io/commons-io/2.15.0/commons-io-2.15.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-compress/1.24.0/commons-compress-1.24.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/slf4j/slf4j-api/1.7.36/slf4j-api-1.7.36.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/iq80/snappy/snappy/0.4/snappy-0.4.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/tukaani/xz/1.9/xz-1.9.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/luben/zstd-jni/1.5.5-10/zstd-jni-1.5.5-10.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/codehaus/plexus/plexus-classworlds/2.6.0/plexus-classworlds-2.6.0.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/xbean/xbean-reflect/3.7/xbean-reflect-3.7.jar:/home/gh0s7/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.18/jansi-1.18.jar diff --git a/target/streams/runtime/internalDependencyAsJars/_global/streams/export b/target/streams/runtime/internalDependencyAsJars/_global/streams/export index 671555b..26fe379 100755 --- a/target/streams/runtime/internalDependencyAsJars/_global/streams/export +++ b/target/streams/runtime/internalDependencyAsJars/_global/streams/export @@ -1 +1 @@ -/run/media/gh0s7/Data/project/ddca2024/micore/target/scala-2.13/-name-_2.13-0.1.0.jar +/home/gh0s7/project/ddca/micore/target/scala-2.13/-name-_2.13-0.1.0.jar diff --git a/test_run_dir/Micore_should_run_the_C_program/TopOrigin.lo.fir b/test_run_dir/Micore_should_run_the_C_program/TopOrigin.lo.fir index caeb25a..b40fce7 100755 --- a/test_run_dir/Micore_should_run_the_C_program/TopOrigin.lo.fir +++ b/test_run_dir/Micore_should_run_the_C_program/TopOrigin.lo.fir @@ -50,83 +50,79 @@ circuit TopOrigin : reset => (UInt<1>("h0"), exe_reg_imm_b_sext) @[src/main/scala/micore/Core.scala 34:35] reg exe_reg_imm_u_shifted : UInt<32>, clock with : reset => (UInt<1>("h0"), exe_reg_imm_u_shifted) @[src/main/scala/micore/Core.scala 35:38] - reg exe_reg_imm_z_uext : UInt<32>, clock with : - reset => (UInt<1>("h0"), exe_reg_imm_z_uext) @[src/main/scala/micore/Core.scala 36:35] reg mem_reg_pc : UInt<32>, clock with : - reset => (UInt<1>("h0"), mem_reg_pc) @[src/main/scala/micore/Core.scala 39:27] + reset => (UInt<1>("h0"), mem_reg_pc) @[src/main/scala/micore/Core.scala 38:27] reg mem_reg_wb_addr : UInt<5>, clock with : - reset => (UInt<1>("h0"), mem_reg_wb_addr) @[src/main/scala/micore/Core.scala 40:32] + reset => (UInt<1>("h0"), mem_reg_wb_addr) @[src/main/scala/micore/Core.scala 39:32] reg mem_reg_op1_data : UInt<32>, clock with : - reset => (UInt<1>("h0"), mem_reg_op1_data) @[src/main/scala/micore/Core.scala 41:33] + reset => (UInt<1>("h0"), mem_reg_op1_data) @[src/main/scala/micore/Core.scala 40:33] reg mem_reg_rs2_data : UInt<32>, clock with : - reset => (UInt<1>("h0"), mem_reg_rs2_data) @[src/main/scala/micore/Core.scala 42:33] + reset => (UInt<1>("h0"), mem_reg_rs2_data) @[src/main/scala/micore/Core.scala 41:33] reg mem_reg_mem_wen : UInt<2>, clock with : - reset => (UInt<1>("h0"), mem_reg_mem_wen) @[src/main/scala/micore/Core.scala 43:32] + reset => (UInt<1>("h0"), mem_reg_mem_wen) @[src/main/scala/micore/Core.scala 42:32] reg mem_reg_rf_wen : UInt<2>, clock with : - reset => (UInt<1>("h0"), mem_reg_rf_wen) @[src/main/scala/micore/Core.scala 44:31] + reset => (UInt<1>("h0"), mem_reg_rf_wen) @[src/main/scala/micore/Core.scala 43:31] reg mem_reg_wb_sel : UInt<3>, clock with : - reset => (UInt<1>("h0"), mem_reg_wb_sel) @[src/main/scala/micore/Core.scala 45:31] - reg mem_reg_imm_z_uext : UInt<32>, clock with : - reset => (UInt<1>("h0"), mem_reg_imm_z_uext) @[src/main/scala/micore/Core.scala 46:35] + reset => (UInt<1>("h0"), mem_reg_wb_sel) @[src/main/scala/micore/Core.scala 44:31] reg mem_reg_alu_out : UInt<32>, clock with : - reset => (UInt<1>("h0"), mem_reg_alu_out) @[src/main/scala/micore/Core.scala 47:32] + reset => (UInt<1>("h0"), mem_reg_alu_out) @[src/main/scala/micore/Core.scala 45:32] reg wb_reg_wb_addr : UInt<5>, clock with : - reset => (UInt<1>("h0"), wb_reg_wb_addr) @[src/main/scala/micore/Core.scala 50:31] + reset => (UInt<1>("h0"), wb_reg_wb_addr) @[src/main/scala/micore/Core.scala 48:31] reg wb_reg_rf_wen : UInt<2>, clock with : - reset => (UInt<1>("h0"), wb_reg_rf_wen) @[src/main/scala/micore/Core.scala 51:30] + reset => (UInt<1>("h0"), wb_reg_rf_wen) @[src/main/scala/micore/Core.scala 49:30] reg wb_reg_wb_data : UInt<32>, clock with : - reset => (UInt<1>("h0"), wb_reg_wb_data) @[src/main/scala/micore/Core.scala 52:31] + reset => (UInt<1>("h0"), wb_reg_wb_data) @[src/main/scala/micore/Core.scala 50:31] reg if_reg_pc : UInt<32>, clock with : - reset => (UInt<1>("h0"), if_reg_pc) @[src/main/scala/micore/Core.scala 55:26] - node _if_pc_plus4_T = add(if_reg_pc, UInt<32>("h4")) @[src/main/scala/micore/Core.scala 65:31] - node if_pc_plus4 = tail(_if_pc_plus4_T, 1) @[src/main/scala/micore/Core.scala 65:31] - node _id_rs1_data_hazard_T = eq(exe_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 92:21] - node id_rs1_addr_b = bits(id_reg_inst, 25, 21) @[src/main/scala/micore/Core.scala 87:34] - node _id_rs1_data_hazard_T_1 = neq(id_rs1_addr_b, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 92:50] - node _id_rs1_data_hazard_T_2 = and(_id_rs1_data_hazard_T, _id_rs1_data_hazard_T_1) @[src/main/scala/micore/Core.scala 92:32] - node _id_rs1_data_hazard_T_3 = eq(id_rs1_addr_b, exe_reg_wb_addr) @[src/main/scala/micore/Core.scala 92:77] - node id_rs1_data_hazard = and(_id_rs1_data_hazard_T_2, _id_rs1_data_hazard_T_3) @[src/main/scala/micore/Core.scala 92:59] - node _id_rs2_data_hazard_T = eq(exe_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 94:21] - node id_rs2_addr_b = bits(id_reg_inst, 20, 16) @[src/main/scala/micore/Core.scala 88:34] - node _id_rs2_data_hazard_T_1 = neq(id_rs2_addr_b, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 94:50] - node _id_rs2_data_hazard_T_2 = and(_id_rs2_data_hazard_T, _id_rs2_data_hazard_T_1) @[src/main/scala/micore/Core.scala 94:32] - node _id_rs2_data_hazard_T_3 = eq(id_rs2_addr_b, exe_reg_wb_addr) @[src/main/scala/micore/Core.scala 94:77] - node id_rs2_data_hazard = and(_id_rs2_data_hazard_T_2, _id_rs2_data_hazard_T_3) @[src/main/scala/micore/Core.scala 94:59] - node _stall_flg_T = or(id_rs1_data_hazard, id_rs2_data_hazard) @[src/main/scala/micore/Core.scala 95:36] - node stall_flg = _stall_flg_T @[src/main/scala/micore/Core.scala 59:23 95:13] + reset => (UInt<1>("h0"), if_reg_pc) @[src/main/scala/micore/Core.scala 53:26] + node _if_pc_plus4_T = add(if_reg_pc, UInt<32>("h4")) @[src/main/scala/micore/Core.scala 63:31] + node if_pc_plus4 = tail(_if_pc_plus4_T, 1) @[src/main/scala/micore/Core.scala 63:31] + node _id_rs1_data_hazard_T = eq(exe_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 90:21] + node id_rs1_addr_b = bits(id_reg_inst, 25, 21) @[src/main/scala/micore/Core.scala 85:34] + node _id_rs1_data_hazard_T_1 = neq(id_rs1_addr_b, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 90:50] + node _id_rs1_data_hazard_T_2 = and(_id_rs1_data_hazard_T, _id_rs1_data_hazard_T_1) @[src/main/scala/micore/Core.scala 90:32] + node _id_rs1_data_hazard_T_3 = eq(id_rs1_addr_b, exe_reg_wb_addr) @[src/main/scala/micore/Core.scala 90:77] + node id_rs1_data_hazard = and(_id_rs1_data_hazard_T_2, _id_rs1_data_hazard_T_3) @[src/main/scala/micore/Core.scala 90:59] + node _id_rs2_data_hazard_T = eq(exe_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 92:21] + node id_rs2_addr_b = bits(id_reg_inst, 20, 16) @[src/main/scala/micore/Core.scala 86:34] + node _id_rs2_data_hazard_T_1 = neq(id_rs2_addr_b, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 92:50] + node _id_rs2_data_hazard_T_2 = and(_id_rs2_data_hazard_T, _id_rs2_data_hazard_T_1) @[src/main/scala/micore/Core.scala 92:32] + node _id_rs2_data_hazard_T_3 = eq(id_rs2_addr_b, exe_reg_wb_addr) @[src/main/scala/micore/Core.scala 92:77] + node id_rs2_data_hazard = and(_id_rs2_data_hazard_T_2, _id_rs2_data_hazard_T_3) @[src/main/scala/micore/Core.scala 92:59] + node _stall_flg_T = or(id_rs1_data_hazard, id_rs2_data_hazard) @[src/main/scala/micore/Core.scala 93:36] + node stall_flg = _stall_flg_T @[src/main/scala/micore/Core.scala 57:23 93:13] node _if_pc_next_T = mux(stall_flg, if_reg_pc, if_pc_plus4) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _exe_jmp_flg_T = eq(exe_reg_wb_sel, UInt<3>("h3")) @[src/main/scala/micore/Core.scala 239:34] - node exe_jmp_flg = _exe_jmp_flg_T @[src/main/scala/micore/Core.scala 239:15 62:25] - node _exe_alu_out_T = eq(exe_reg_exe_fun, UInt<5>("h1")) @[src/main/scala/micore/Core.scala 204:24] - node _exe_alu_out_T_1 = add(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 204:58] - node _exe_alu_out_T_2 = tail(_exe_alu_out_T_1, 1) @[src/main/scala/micore/Core.scala 204:58] - node _exe_alu_out_T_3 = eq(exe_reg_exe_fun, UInt<5>("h2")) @[src/main/scala/micore/Core.scala 205:24] - node _exe_alu_out_T_4 = sub(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 205:58] - node _exe_alu_out_T_5 = tail(_exe_alu_out_T_4, 1) @[src/main/scala/micore/Core.scala 205:58] - node _exe_alu_out_T_6 = eq(exe_reg_exe_fun, UInt<5>("h3")) @[src/main/scala/micore/Core.scala 206:24] - node _exe_alu_out_T_7 = and(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 206:58] - node _exe_alu_out_T_8 = eq(exe_reg_exe_fun, UInt<5>("h4")) @[src/main/scala/micore/Core.scala 207:24] - node _exe_alu_out_T_9 = or(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 207:57] - node _exe_alu_out_T_10 = eq(exe_reg_exe_fun, UInt<5>("h5")) @[src/main/scala/micore/Core.scala 208:24] - node _exe_alu_out_T_11 = xor(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 208:58] - node _exe_alu_out_T_12 = eq(exe_reg_exe_fun, UInt<5>("h6")) @[src/main/scala/micore/Core.scala 209:24] - node _exe_alu_out_T_13 = bits(exe_reg_op2_data, 4, 0) @[src/main/scala/micore/Core.scala 209:77] - node _exe_alu_out_T_14 = dshl(exe_reg_op1_data, _exe_alu_out_T_13) @[src/main/scala/micore/Core.scala 209:58] - node _exe_alu_out_T_15 = bits(_exe_alu_out_T_14, 31, 0) @[src/main/scala/micore/Core.scala 212:9] - node _exe_alu_out_T_16 = eq(exe_reg_exe_fun, UInt<5>("h7")) @[src/main/scala/micore/Core.scala 213:24] - node _exe_alu_out_T_17 = bits(exe_reg_op2_data, 4, 0) @[src/main/scala/micore/Core.scala 213:77] - node _exe_alu_out_T_18 = dshr(exe_reg_op1_data, _exe_alu_out_T_17) @[src/main/scala/micore/Core.scala 213:58] - node _exe_alu_out_T_19 = eq(exe_reg_exe_fun, UInt<5>("h8")) @[src/main/scala/micore/Core.scala 217:24] - node _exe_alu_out_T_20 = asSInt(exe_reg_op1_data) @[src/main/scala/micore/Core.scala 217:58] - node _exe_alu_out_T_21 = bits(exe_reg_op2_data, 4, 0) @[src/main/scala/micore/Core.scala 217:84] - node _exe_alu_out_T_22 = dshr(_exe_alu_out_T_20, _exe_alu_out_T_21) @[src/main/scala/micore/Core.scala 217:65] - node _exe_alu_out_T_23 = asUInt(_exe_alu_out_T_22) @[src/main/scala/micore/Core.scala 220:10] - node _exe_alu_out_T_24 = eq(exe_reg_exe_fun, UInt<5>("h9")) @[src/main/scala/micore/Core.scala 221:24] - node _exe_alu_out_T_25 = asSInt(exe_reg_op1_data) @[src/main/scala/micore/Core.scala 221:58] - node _exe_alu_out_T_26 = asSInt(exe_reg_op2_data) @[src/main/scala/micore/Core.scala 221:84] - node _exe_alu_out_T_27 = lt(_exe_alu_out_T_25, _exe_alu_out_T_26) @[src/main/scala/micore/Core.scala 221:65] - node _exe_alu_out_T_28 = eq(exe_reg_exe_fun, UInt<5>("ha")) @[src/main/scala/micore/Core.scala 222:24] - node _exe_alu_out_T_29 = lt(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 222:59] + node _exe_jmp_flg_T = eq(exe_reg_wb_sel, UInt<3>("h3")) @[src/main/scala/micore/Core.scala 243:34] + node exe_jmp_flg = _exe_jmp_flg_T @[src/main/scala/micore/Core.scala 243:15 60:25] + node _exe_alu_out_T = eq(exe_reg_exe_fun, UInt<5>("h1")) @[src/main/scala/micore/Core.scala 211:24] + node _exe_alu_out_T_1 = add(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 211:58] + node _exe_alu_out_T_2 = tail(_exe_alu_out_T_1, 1) @[src/main/scala/micore/Core.scala 211:58] + node _exe_alu_out_T_3 = eq(exe_reg_exe_fun, UInt<5>("h2")) @[src/main/scala/micore/Core.scala 212:24] + node _exe_alu_out_T_4 = sub(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 212:58] + node _exe_alu_out_T_5 = tail(_exe_alu_out_T_4, 1) @[src/main/scala/micore/Core.scala 212:58] + node _exe_alu_out_T_6 = eq(exe_reg_exe_fun, UInt<5>("h3")) @[src/main/scala/micore/Core.scala 213:24] + node _exe_alu_out_T_7 = and(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 213:58] + node _exe_alu_out_T_8 = eq(exe_reg_exe_fun, UInt<5>("h4")) @[src/main/scala/micore/Core.scala 214:24] + node _exe_alu_out_T_9 = or(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 214:57] + node _exe_alu_out_T_10 = eq(exe_reg_exe_fun, UInt<5>("h5")) @[src/main/scala/micore/Core.scala 215:24] + node _exe_alu_out_T_11 = xor(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 215:58] + node _exe_alu_out_T_12 = eq(exe_reg_exe_fun, UInt<5>("h6")) @[src/main/scala/micore/Core.scala 216:24] + node _exe_alu_out_T_13 = bits(exe_reg_op2_data, 4, 0) @[src/main/scala/micore/Core.scala 216:77] + node _exe_alu_out_T_14 = dshl(exe_reg_op1_data, _exe_alu_out_T_13) @[src/main/scala/micore/Core.scala 216:58] + node _exe_alu_out_T_15 = bits(_exe_alu_out_T_14, 31, 0) @[src/main/scala/micore/Core.scala 219:9] + node _exe_alu_out_T_16 = eq(exe_reg_exe_fun, UInt<5>("h7")) @[src/main/scala/micore/Core.scala 220:24] + node _exe_alu_out_T_17 = bits(exe_reg_op2_data, 4, 0) @[src/main/scala/micore/Core.scala 220:77] + node _exe_alu_out_T_18 = dshr(exe_reg_op1_data, _exe_alu_out_T_17) @[src/main/scala/micore/Core.scala 220:58] + node _exe_alu_out_T_19 = eq(exe_reg_exe_fun, UInt<5>("h8")) @[src/main/scala/micore/Core.scala 224:24] + node _exe_alu_out_T_20 = asSInt(exe_reg_op1_data) @[src/main/scala/micore/Core.scala 224:58] + node _exe_alu_out_T_21 = bits(exe_reg_op2_data, 4, 0) @[src/main/scala/micore/Core.scala 224:84] + node _exe_alu_out_T_22 = dshr(_exe_alu_out_T_20, _exe_alu_out_T_21) @[src/main/scala/micore/Core.scala 224:65] + node _exe_alu_out_T_23 = asUInt(_exe_alu_out_T_22) @[src/main/scala/micore/Core.scala 227:10] + node _exe_alu_out_T_24 = eq(exe_reg_exe_fun, UInt<5>("h9")) @[src/main/scala/micore/Core.scala 228:24] + node _exe_alu_out_T_25 = asSInt(exe_reg_op1_data) @[src/main/scala/micore/Core.scala 228:58] + node _exe_alu_out_T_26 = asSInt(exe_reg_op2_data) @[src/main/scala/micore/Core.scala 228:84] + node _exe_alu_out_T_27 = lt(_exe_alu_out_T_25, _exe_alu_out_T_26) @[src/main/scala/micore/Core.scala 228:65] + node _exe_alu_out_T_28 = eq(exe_reg_exe_fun, UInt<5>("ha")) @[src/main/scala/micore/Core.scala 229:24] + node _exe_alu_out_T_29 = lt(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 229:59] node _exe_alu_out_T_30 = mux(_exe_alu_out_T_28, _exe_alu_out_T_29, UInt<32>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _exe_alu_out_T_31 = mux(_exe_alu_out_T_24, _exe_alu_out_T_27, _exe_alu_out_T_30) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _exe_alu_out_T_32 = mux(_exe_alu_out_T_19, _exe_alu_out_T_23, _exe_alu_out_T_31) @[src/main/scala/chisel3/util/Mux.scala 126:16] @@ -137,83 +133,90 @@ circuit TopOrigin : node _exe_alu_out_T_37 = mux(_exe_alu_out_T_6, _exe_alu_out_T_7, _exe_alu_out_T_36) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _exe_alu_out_T_38 = mux(_exe_alu_out_T_3, _exe_alu_out_T_5, _exe_alu_out_T_37) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _exe_alu_out_T_39 = mux(_exe_alu_out_T, _exe_alu_out_T_2, _exe_alu_out_T_38) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node exe_alu_out = _exe_alu_out_T_39 @[src/main/scala/micore/Core.scala 201:15 63:25] + node exe_alu_out = _exe_alu_out_T_39 @[src/main/scala/micore/Core.scala 208:15 61:25] node _if_pc_next_T_1 = mux(exe_jmp_flg, exe_alu_out, _if_pc_next_T) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _exe_br_flg_T = eq(exe_reg_exe_fun, UInt<5>("hb")) @[src/main/scala/micore/Core.scala 232:24] - node _exe_br_flg_T_1 = eq(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 232:57] - node _exe_br_flg_T_2 = eq(exe_reg_exe_fun, UInt<5>("hc")) @[src/main/scala/micore/Core.scala 233:24] - node _exe_br_flg_T_3 = eq(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 233:58] - node _exe_br_flg_T_4 = eq(_exe_br_flg_T_3, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 233:39] + node _exe_br_flg_T = eq(exe_reg_exe_fun, UInt<5>("hb")) @[src/main/scala/micore/Core.scala 236:24] + node _exe_br_flg_T_1 = eq(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 236:57] + node _exe_br_flg_T_2 = eq(exe_reg_exe_fun, UInt<5>("hc")) @[src/main/scala/micore/Core.scala 237:24] + node _exe_br_flg_T_3 = eq(exe_reg_op1_data, exe_reg_op2_data) @[src/main/scala/micore/Core.scala 237:58] + node _exe_br_flg_T_4 = eq(_exe_br_flg_T_3, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 237:39] node _exe_br_flg_T_5 = mux(_exe_br_flg_T_2, _exe_br_flg_T_4, UInt<1>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _exe_br_flg_T_6 = mux(_exe_br_flg_T, _exe_br_flg_T_1, _exe_br_flg_T_5) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node exe_br_flg = _exe_br_flg_T_6 @[src/main/scala/micore/Core.scala 229:14 60:24] - node _exe_br_target_T = add(exe_reg_pc, exe_reg_imm_b_sext) @[src/main/scala/micore/Core.scala 237:31] - node _exe_br_target_T_1 = tail(_exe_br_target_T, 1) @[src/main/scala/micore/Core.scala 237:31] - node exe_br_target = _exe_br_target_T_1 @[src/main/scala/micore/Core.scala 237:17 61:27] + node exe_br_flg = _exe_br_flg_T_6 @[src/main/scala/micore/Core.scala 233:14 58:24] + node _exe_br_target_T = add(exe_reg_pc, exe_reg_imm_b_sext) @[src/main/scala/micore/Core.scala 241:31] + node _exe_br_target_T_1 = tail(_exe_br_target_T, 1) @[src/main/scala/micore/Core.scala 241:31] + node exe_br_target = _exe_br_target_T_1 @[src/main/scala/micore/Core.scala 241:17 59:27] node if_pc_next = mux(exe_br_flg, exe_br_target, _if_pc_next_T_1) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _id_reg_pc_T = mux(stall_flg, id_reg_pc, if_reg_pc) @[src/main/scala/micore/Core.scala 77:19] - node _id_reg_inst_T = or(exe_br_flg, exe_jmp_flg) @[src/main/scala/micore/Core.scala 81:19] + node _id_reg_pc_T = mux(stall_flg, id_reg_pc, if_reg_pc) @[src/main/scala/micore/Core.scala 75:19] + node _id_reg_inst_T = or(exe_br_flg, exe_jmp_flg) @[src/main/scala/micore/Core.scala 79:19] node _id_reg_inst_T_1 = mux(stall_flg, id_reg_inst, io_imem_inst) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _id_reg_inst_T_2 = mux(_id_reg_inst_T, UInt<32>("h20000000"), _id_reg_inst_T_1) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _id_inst_T = or(exe_br_flg, exe_jmp_flg) @[src/main/scala/micore/Core.scala 98:21] - node _id_inst_T_1 = or(_id_inst_T, stall_flg) @[src/main/scala/micore/Core.scala 98:36] - node id_inst = mux(_id_inst_T_1, UInt<32>("h20000000"), id_reg_inst) @[src/main/scala/micore/Core.scala 98:8] - node id_rs1_addr = bits(id_inst, 25, 21) @[src/main/scala/micore/Core.scala 100:28] - node id_rs2_addr = bits(id_inst, 20, 16) @[src/main/scala/micore/Core.scala 101:28] - node id_wb_addr = bits(id_inst, 15, 11) @[src/main/scala/micore/Core.scala 102:27] - node _id_rs1_data_T = eq(id_rs1_addr, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 108:20] - node _id_rs1_data_T_1 = eq(id_rs1_addr, mem_reg_wb_addr) @[src/main/scala/micore/Core.scala 109:21] - node _id_rs1_data_T_2 = eq(mem_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 109:61] - node _id_rs1_data_T_3 = and(_id_rs1_data_T_1, _id_rs1_data_T_2) @[src/main/scala/micore/Core.scala 109:42] - node _id_rs1_data_T_4 = eq(id_rs1_addr, wb_reg_wb_addr) @[src/main/scala/micore/Core.scala 110:21] - node _id_rs1_data_T_5 = eq(wb_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 110:59] - node _id_rs1_data_T_6 = and(_id_rs1_data_T_4, _id_rs1_data_T_5) @[src/main/scala/micore/Core.scala 110:41] + node _id_inst_T = or(exe_br_flg, exe_jmp_flg) @[src/main/scala/micore/Core.scala 96:21] + node _id_inst_T_1 = or(_id_inst_T, stall_flg) @[src/main/scala/micore/Core.scala 96:36] + node id_inst = mux(_id_inst_T_1, UInt<32>("h20000000"), id_reg_inst) @[src/main/scala/micore/Core.scala 96:8] + node id_rs1_addr = bits(id_inst, 25, 21) @[src/main/scala/micore/Core.scala 98:28] + node id_rs2_addr = bits(id_inst, 20, 16) @[src/main/scala/micore/Core.scala 99:28] + node _id_wb_addr_T = bits(id_inst, 20, 16) @[src/main/scala/micore/Core.scala 102:12] + node _id_wb_addr_T_1 = bits(id_inst, 31, 26) @[src/main/scala/micore/Core.scala 104:15] + node _id_wb_addr_T_2 = eq(_id_wb_addr_T_1, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 104:24] + node _id_wb_addr_T_3 = bits(id_inst, 15, 11) @[src/main/scala/micore/Core.scala 104:51] + node _id_wb_addr_T_4 = and(id_inst, UInt<32>("hfc000000")) @[src/main/scala/micore/Core.scala 108:16] + node _id_wb_addr_T_5 = eq(UInt<28>("hc000000"), _id_wb_addr_T_4) @[src/main/scala/micore/Core.scala 108:16] + node _id_wb_addr_T_6 = mux(_id_wb_addr_T_5, UInt<5>("h1f"), _id_wb_addr_T) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node id_wb_addr = mux(_id_wb_addr_T_2, _id_wb_addr_T_3, _id_wb_addr_T_6) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _id_rs1_data_T = eq(id_rs1_addr, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 116:20] + node _id_rs1_data_T_1 = eq(id_rs1_addr, mem_reg_wb_addr) @[src/main/scala/micore/Core.scala 117:21] + node _id_rs1_data_T_2 = eq(mem_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 117:61] + node _id_rs1_data_T_3 = and(_id_rs1_data_T_1, _id_rs1_data_T_2) @[src/main/scala/micore/Core.scala 117:42] + node _id_rs1_data_T_4 = eq(id_rs1_addr, wb_reg_wb_addr) @[src/main/scala/micore/Core.scala 118:21] + node _id_rs1_data_T_5 = eq(wb_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 118:59] + node _id_rs1_data_T_6 = and(_id_rs1_data_T_4, _id_rs1_data_T_5) @[src/main/scala/micore/Core.scala 118:41] node _id_rs1_data_T_7 = mux(_id_rs1_data_T_6, wb_reg_wb_data, regfile.id_rs1_data_MPORT.data) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _mem_wb_data_T = eq(mem_reg_wb_sel, UInt<3>("h2")) @[src/main/scala/micore/Core.scala 260:23] - node _mem_wb_data_T_1 = eq(mem_reg_wb_sel, UInt<3>("h3")) @[src/main/scala/micore/Core.scala 261:23] - node _mem_wb_data_T_2 = add(mem_reg_pc, UInt<32>("h4")) @[src/main/scala/micore/Core.scala 261:49] - node _mem_wb_data_T_3 = tail(_mem_wb_data_T_2, 1) @[src/main/scala/micore/Core.scala 261:49] + node _mem_wb_data_T = eq(mem_reg_wb_sel, UInt<3>("h2")) @[src/main/scala/micore/Core.scala 263:23] + node _mem_wb_data_T_1 = eq(mem_reg_wb_sel, UInt<3>("h3")) @[src/main/scala/micore/Core.scala 264:23] + node _mem_wb_data_T_2 = add(mem_reg_pc, UInt<32>("h4")) @[src/main/scala/micore/Core.scala 264:49] + node _mem_wb_data_T_3 = tail(_mem_wb_data_T_2, 1) @[src/main/scala/micore/Core.scala 264:49] node _mem_wb_data_T_4 = mux(_mem_wb_data_T_1, _mem_wb_data_T_3, mem_reg_alu_out) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _mem_wb_data_T_5 = mux(_mem_wb_data_T, io_dmem_rdata, _mem_wb_data_T_4) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node mem_wb_data = _mem_wb_data_T_5 @[src/main/scala/micore/Core.scala 104:25 257:15] + node mem_wb_data = _mem_wb_data_T_5 @[src/main/scala/micore/Core.scala 112:25 260:15] node _id_rs1_data_T_8 = mux(_id_rs1_data_T_3, mem_wb_data, _id_rs1_data_T_7) @[src/main/scala/chisel3/util/Mux.scala 126:16] node id_rs1_data = mux(_id_rs1_data_T, UInt<32>("h0"), _id_rs1_data_T_8) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _id_rs2_data_T = eq(id_rs2_addr, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 116:20] - node _id_rs2_data_T_1 = eq(id_rs2_addr, mem_reg_wb_addr) @[src/main/scala/micore/Core.scala 117:21] - node _id_rs2_data_T_2 = eq(mem_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 117:61] - node _id_rs2_data_T_3 = and(_id_rs2_data_T_1, _id_rs2_data_T_2) @[src/main/scala/micore/Core.scala 117:42] - node _id_rs2_data_T_4 = eq(id_rs2_addr, wb_reg_wb_addr) @[src/main/scala/micore/Core.scala 118:21] - node _id_rs2_data_T_5 = eq(wb_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 118:59] - node _id_rs2_data_T_6 = and(_id_rs2_data_T_4, _id_rs2_data_T_5) @[src/main/scala/micore/Core.scala 118:41] + node _id_rs2_data_T = eq(id_rs2_addr, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 124:20] + node _id_rs2_data_T_1 = eq(id_rs2_addr, mem_reg_wb_addr) @[src/main/scala/micore/Core.scala 125:21] + node _id_rs2_data_T_2 = eq(mem_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 125:61] + node _id_rs2_data_T_3 = and(_id_rs2_data_T_1, _id_rs2_data_T_2) @[src/main/scala/micore/Core.scala 125:42] + node _id_rs2_data_T_4 = eq(id_rs2_addr, wb_reg_wb_addr) @[src/main/scala/micore/Core.scala 126:21] + node _id_rs2_data_T_5 = eq(wb_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 126:59] + node _id_rs2_data_T_6 = and(_id_rs2_data_T_4, _id_rs2_data_T_5) @[src/main/scala/micore/Core.scala 126:41] node _id_rs2_data_T_7 = mux(_id_rs2_data_T_6, wb_reg_wb_data, regfile.id_rs2_data_MPORT.data) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _id_rs2_data_T_8 = mux(_id_rs2_data_T_3, mem_wb_data, _id_rs2_data_T_7) @[src/main/scala/chisel3/util/Mux.scala 126:16] node id_rs2_data = mux(_id_rs2_data_T, UInt<32>("h0"), _id_rs2_data_T_8) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node id_imm_i = bits(id_inst, 15, 0) @[src/main/scala/micore/Core.scala 122:25] - node _id_imm_i_sext_T = bits(id_imm_i, 15, 15) @[src/main/scala/micore/Core.scala 123:44] - node _id_imm_i_sext_T_1 = mux(_id_imm_i_sext_T, UInt<16>("hffff"), UInt<16>("h0")) @[src/main/scala/micore/Core.scala 123:31] - node id_imm_i_sext = cat(_id_imm_i_sext_T_1, id_imm_i) @[src/main/scala/micore/Core.scala 123:26] - node _id_imm_b_T = bits(id_inst, 15, 0) @[src/main/scala/micore/Core.scala 125:12] - node id_imm_b = cat(_id_imm_b_T, UInt<2>("h0")) @[src/main/scala/micore/Core.scala 124:21] - node _id_imm_b_sext_T = bits(id_imm_b, 17, 17) @[src/main/scala/micore/Core.scala 128:44] - node _id_imm_b_sext_T_1 = mux(_id_imm_b_sext_T, UInt<14>("h3fff"), UInt<14>("h0")) @[src/main/scala/micore/Core.scala 128:31] - node id_imm_b_sext = cat(_id_imm_b_sext_T_1, id_imm_b) @[src/main/scala/micore/Core.scala 128:26] - node _id_imm_j_T = bits(id_inst, 25, 0) @[src/main/scala/micore/Core.scala 130:12] - node id_imm_j = cat(_id_imm_j_T, UInt<2>("h0")) @[src/main/scala/micore/Core.scala 129:21] - node _id_imm_j_sext_T = bits(id_imm_j, 25, 25) @[src/main/scala/micore/Core.scala 133:43] - node _id_imm_j_sext_T_1 = mux(_id_imm_j_sext_T, UInt<6>("h3f"), UInt<6>("h0")) @[src/main/scala/micore/Core.scala 133:31] - node id_imm_j_sext = cat(_id_imm_j_sext_T_1, id_imm_j) @[src/main/scala/micore/Core.scala 133:26] - node id_imm_u = bits(id_inst, 15, 0) @[src/main/scala/micore/Core.scala 134:25] - node _id_imm_u_shifted_T = mux(UInt<1>("h0"), UInt<16>("hffff"), UInt<16>("h0")) @[src/main/scala/micore/Core.scala 135:44] - node id_imm_u_shifted = cat(id_imm_u, _id_imm_u_shifted_T) @[src/main/scala/micore/Core.scala 135:29] - node id_imm_shamt = bits(id_inst, 10, 6) @[src/main/scala/micore/Core.scala 136:29] - node _csignals_T = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_1 = eq(UInt<34>("h230000000"), _csignals_T) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_2 = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_3 = eq(UInt<34>("h2b0000000"), _csignals_T_2) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node id_imm_i = bits(id_inst, 15, 0) @[src/main/scala/micore/Core.scala 130:25] + node _id_imm_i_sext_T = bits(id_imm_i, 15, 15) @[src/main/scala/micore/Core.scala 131:44] + node _id_imm_i_sext_T_1 = mux(_id_imm_i_sext_T, UInt<16>("hffff"), UInt<16>("h0")) @[src/main/scala/micore/Core.scala 131:31] + node id_imm_i_sext = cat(_id_imm_i_sext_T_1, id_imm_i) @[src/main/scala/micore/Core.scala 131:26] + node _id_imm_b_T = bits(id_inst, 15, 0) @[src/main/scala/micore/Core.scala 133:12] + node id_imm_b = cat(_id_imm_b_T, UInt<2>("h0")) @[src/main/scala/micore/Core.scala 132:21] + node _id_imm_b_sext_T = bits(id_imm_b, 17, 17) @[src/main/scala/micore/Core.scala 136:44] + node _id_imm_b_sext_T_1 = mux(_id_imm_b_sext_T, UInt<14>("h3fff"), UInt<14>("h0")) @[src/main/scala/micore/Core.scala 136:31] + node id_imm_b_sext = cat(_id_imm_b_sext_T_1, id_imm_b) @[src/main/scala/micore/Core.scala 136:26] + node _id_imm_j_T = bits(id_inst, 25, 0) @[src/main/scala/micore/Core.scala 138:12] + node id_imm_j = cat(_id_imm_j_T, UInt<2>("h0")) @[src/main/scala/micore/Core.scala 137:21] + node _id_imm_j_sext_T = bits(id_imm_j, 25, 25) @[src/main/scala/micore/Core.scala 141:43] + node _id_imm_j_sext_T_1 = mux(_id_imm_j_sext_T, UInt<6>("h3f"), UInt<6>("h0")) @[src/main/scala/micore/Core.scala 141:31] + node id_imm_j_sext = cat(_id_imm_j_sext_T_1, id_imm_j) @[src/main/scala/micore/Core.scala 141:26] + node id_imm_u = bits(id_inst, 15, 0) @[src/main/scala/micore/Core.scala 142:25] + node _id_imm_u_shifted_T = mux(UInt<1>("h0"), UInt<16>("hffff"), UInt<16>("h0")) @[src/main/scala/micore/Core.scala 143:44] + node id_imm_u_shifted = cat(id_imm_u, _id_imm_u_shifted_T) @[src/main/scala/micore/Core.scala 143:29] + node id_imm_shamt = bits(id_inst, 10, 6) @[src/main/scala/micore/Core.scala 144:29] + node _csignals_T = and(id_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_1 = eq(UInt<32>("h8c000000"), _csignals_T) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_2 = and(id_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_3 = eq(UInt<32>("hac000000"), _csignals_T_2) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_4 = and(id_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_5 = eq(UInt<6>("h20"), _csignals_T_4) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_6 = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_7 = eq(UInt<32>("h80000000"), _csignals_T_6) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_6 = and(id_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_7 = eq(UInt<30>("h20000000"), _csignals_T_6) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_8 = and(id_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_9 = eq(UInt<6>("h22"), _csignals_T_8) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_10 = and(id_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] @@ -222,26 +225,26 @@ circuit TopOrigin : node _csignals_T_13 = eq(UInt<6>("h25"), _csignals_T_12) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_14 = and(id_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_15 = eq(UInt<6>("h26"), _csignals_T_14) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_16 = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_17 = eq(UInt<32>("hc0000000"), _csignals_T_16) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_18 = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_19 = eq(UInt<32>("hd0000000"), _csignals_T_18) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_16 = and(id_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_17 = eq(UInt<30>("h30000000"), _csignals_T_16) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_18 = and(id_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_19 = eq(UInt<30>("h34000000"), _csignals_T_18) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_20 = and(id_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_21 = eq(UInt<6>("h2a"), _csignals_T_20) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_22 = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_23 = eq(UInt<31>("h40000000"), _csignals_T_22) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_24 = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_25 = eq(UInt<31>("h50000000"), _csignals_T_24) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_22 = and(id_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_23 = eq(UInt<29>("h10000000"), _csignals_T_22) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_24 = and(id_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_25 = eq(UInt<29>("h14000000"), _csignals_T_24) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_26 = and(id_inst, UInt<32>("hffe0003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_27 = eq(UInt<1>("h0"), _csignals_T_26) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_28 = and(id_inst, UInt<32>("hffe0003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_29 = eq(UInt<2>("h2"), _csignals_T_28) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_30 = and(id_inst, UInt<32>("hffe0003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_31 = eq(UInt<2>("h3"), _csignals_T_30) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_32 = and(id_inst, UInt<34>("h3f000003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_32 = and(id_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_33 = eq(UInt<4>("h8"), _csignals_T_32) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_34 = and(id_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_35 = eq(UInt<30>("h30000000"), _csignals_T_34) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_34 = and(id_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_35 = eq(UInt<28>("hc000000"), _csignals_T_34) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_36 = and(id_inst, UInt<32>("hffffffff")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_37 = eq(UInt<30>("h20000000"), _csignals_T_36) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_38 = mux(_csignals_T_37, UInt<5>("h0"), UInt<5>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] @@ -358,124 +361,124 @@ circuit TopOrigin : node _csignals_T_144 = mux(_csignals_T_5, UInt<3>("h1"), _csignals_T_143) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node _csignals_T_145 = mux(_csignals_T_3, UInt<3>("h2"), _csignals_T_144) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node csignals_5 = mux(_csignals_T_1, UInt<3>("h2"), _csignals_T_145) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _id_op1_data_T = eq(csignals_1, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 171:19] - node _id_op1_data_T_1 = eq(csignals_1, UInt<2>("h2")) @[src/main/scala/micore/Core.scala 172:19] + node _id_op1_data_T = eq(csignals_1, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 178:19] + node _id_op1_data_T_1 = eq(csignals_1, UInt<2>("h2")) @[src/main/scala/micore/Core.scala 179:19] node _id_op1_data_T_2 = mux(_id_op1_data_T_1, id_reg_pc, UInt<32>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] node id_op1_data = mux(_id_op1_data_T, id_rs1_data, _id_op1_data_T_2) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _id_op2_data_T = eq(csignals_2, UInt<3>("h1")) @[src/main/scala/micore/Core.scala 179:19] - node _id_op2_data_T_1 = eq(csignals_2, UInt<3>("h2")) @[src/main/scala/micore/Core.scala 180:19] - node _id_op2_data_T_2 = eq(csignals_2, UInt<3>("h4")) @[src/main/scala/micore/Core.scala 181:19] - node _id_op2_data_T_3 = eq(csignals_2, UInt<3>("h5")) @[src/main/scala/micore/Core.scala 182:19] + node _id_op2_data_T = eq(csignals_2, UInt<3>("h1")) @[src/main/scala/micore/Core.scala 186:19] + node _id_op2_data_T_1 = eq(csignals_2, UInt<3>("h2")) @[src/main/scala/micore/Core.scala 187:19] + node _id_op2_data_T_2 = eq(csignals_2, UInt<3>("h4")) @[src/main/scala/micore/Core.scala 188:19] + node _id_op2_data_T_3 = eq(csignals_2, UInt<3>("h5")) @[src/main/scala/micore/Core.scala 189:19] node _id_op2_data_T_4 = mux(_id_op2_data_T_3, id_imm_u_shifted, UInt<32>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _id_op2_data_T_5 = mux(_id_op2_data_T_2, id_imm_j_sext, _id_op2_data_T_4) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _id_op2_data_T_6 = mux(_id_op2_data_T_1, id_imm_i_sext, _id_op2_data_T_5) @[src/main/scala/chisel3/util/Mux.scala 126:16] node id_op2_data = mux(_id_op2_data_T, id_rs2_data, _id_op2_data_T_6) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _T = eq(wb_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 271:22] - node _GEN_0 = validif(_T, wb_reg_wb_addr) @[src/main/scala/micore/Core.scala 271:{33,42}] - node _GEN_1 = validif(_T, clock) @[src/main/scala/micore/Core.scala 271:{33,42}] - node _GEN_2 = mux(_T, UInt<1>("h1"), UInt<1>("h0")) @[src/main/scala/micore/Core.scala 15:20 271:{33,42}] - node _GEN_3 = validif(_T, UInt<1>("h1")) @[src/main/scala/micore/Core.scala 271:{33,59}] - node _GEN_4 = validif(_T, wb_reg_wb_data) @[src/main/scala/micore/Core.scala 271:{33,59}] - node _io_exit_T = eq(id_reg_inst, UInt<32>("h114514")) @[src/main/scala/micore/Core.scala 274:27] - node _T_1 = asUInt(reset) @[src/main/scala/micore/Core.scala 275:9] - node _T_2 = eq(_T_1, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 275:9] - node _T_3 = asUInt(reset) @[src/main/scala/micore/Core.scala 276:9] - node _T_4 = eq(_T_3, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 276:9] - node _T_5 = asUInt(reset) @[src/main/scala/micore/Core.scala 277:9] - node _T_6 = eq(_T_5, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 277:9] - node _T_7 = asUInt(reset) @[src/main/scala/micore/Core.scala 278:9] - node _T_8 = eq(_T_7, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 278:9] - node _T_9 = asUInt(reset) @[src/main/scala/micore/Core.scala 279:9] - node _T_10 = eq(_T_9, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 279:9] - node _T_11 = asUInt(reset) @[src/main/scala/micore/Core.scala 280:9] - node _T_12 = eq(_T_11, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 280:9] - node _T_13 = asUInt(reset) @[src/main/scala/micore/Core.scala 281:9] - node _T_14 = eq(_T_13, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 281:9] - node _T_15 = asUInt(reset) @[src/main/scala/micore/Core.scala 282:9] - node _T_16 = eq(_T_15, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 282:9] - node _T_17 = asUInt(reset) @[src/main/scala/micore/Core.scala 283:9] - node _T_18 = eq(_T_17, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 283:9] - node _T_19 = asUInt(reset) @[src/main/scala/micore/Core.scala 284:9] - node _T_20 = eq(_T_19, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 284:9] - node _T_21 = asUInt(reset) @[src/main/scala/micore/Core.scala 285:9] - node _T_22 = eq(_T_21, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 285:9] - node _T_23 = asUInt(reset) @[src/main/scala/micore/Core.scala 286:9] - node _T_24 = eq(_T_23, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 286:9] - node _T_25 = asUInt(reset) @[src/main/scala/micore/Core.scala 287:9] - node _T_26 = eq(_T_25, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 287:9] - node _T_27 = asUInt(reset) @[src/main/scala/micore/Core.scala 288:9] - node _T_28 = eq(_T_27, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 288:9] - node _T_29 = asUInt(reset) @[src/main/scala/micore/Core.scala 289:9] - node _T_30 = eq(_T_29, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 289:9] - node _T_31 = asUInt(reset) @[src/main/scala/micore/Core.scala 290:9] - node _T_32 = eq(_T_31, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 290:9] - node _T_33 = asUInt(reset) @[src/main/scala/micore/Core.scala 291:9] - node _T_34 = eq(_T_33, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 291:9] - node _T_35 = asUInt(reset) @[src/main/scala/micore/Core.scala 292:9] - node _T_36 = eq(_T_35, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 292:9] - io_imem_addr <= if_reg_pc @[src/main/scala/micore/Core.scala 56:16] - io_dmem_addr <= mem_reg_alu_out @[src/main/scala/micore/Core.scala 253:16] - io_dmem_wen <= bits(mem_reg_mem_wen, 0, 0) @[src/main/scala/micore/Core.scala 254:15] - io_dmem_wdata <= mem_reg_rs2_data @[src/main/scala/micore/Core.scala 255:17] - io_exit <= _io_exit_T @[src/main/scala/micore/Core.scala 274:11] - regfile.id_rs1_data_MPORT.addr <= id_rs1_addr @[src/main/scala/micore/Core.scala 106:12] - regfile.id_rs1_data_MPORT.en <= UInt<1>("h1") @[src/main/scala/micore/Core.scala 106:12] - regfile.id_rs1_data_MPORT.clk <= clock @[src/main/scala/micore/Core.scala 106:12] - regfile.id_rs2_data_MPORT.addr <= id_rs2_addr @[src/main/scala/micore/Core.scala 114:12] - regfile.id_rs2_data_MPORT.en <= UInt<1>("h1") @[src/main/scala/micore/Core.scala 114:12] - regfile.id_rs2_data_MPORT.clk <= clock @[src/main/scala/micore/Core.scala 114:12] + node _T = eq(wb_reg_rf_wen, UInt<2>("h1")) @[src/main/scala/micore/Core.scala 275:22] + node _T_1 = neq(wb_reg_wb_addr, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 275:50] + node _T_2 = and(_T, _T_1) @[src/main/scala/micore/Core.scala 275:32] + node _GEN_0 = validif(_T_2, wb_reg_wb_addr) @[src/main/scala/micore/Core.scala 275:59 276:12] + node _GEN_1 = validif(_T_2, clock) @[src/main/scala/micore/Core.scala 275:59 276:12] + node _GEN_2 = mux(_T_2, UInt<1>("h1"), UInt<1>("h0")) @[src/main/scala/micore/Core.scala 275:59 276:12 15:20] + node _GEN_3 = validif(_T_2, UInt<1>("h1")) @[src/main/scala/micore/Core.scala 275:59 276:29] + node _GEN_4 = validif(_T_2, wb_reg_wb_data) @[src/main/scala/micore/Core.scala 275:59 276:29] + node _io_exit_T = eq(id_reg_inst, UInt<32>("h114514")) @[src/main/scala/micore/Core.scala 280:27] + node _T_3 = asUInt(reset) @[src/main/scala/micore/Core.scala 281:9] + node _T_4 = eq(_T_3, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 281:9] + node _T_5 = asUInt(reset) @[src/main/scala/micore/Core.scala 282:9] + node _T_6 = eq(_T_5, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 282:9] + node _T_7 = asUInt(reset) @[src/main/scala/micore/Core.scala 283:9] + node _T_8 = eq(_T_7, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 283:9] + node _T_9 = asUInt(reset) @[src/main/scala/micore/Core.scala 284:9] + node _T_10 = eq(_T_9, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 284:9] + node _T_11 = asUInt(reset) @[src/main/scala/micore/Core.scala 285:9] + node _T_12 = eq(_T_11, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 285:9] + node _T_13 = asUInt(reset) @[src/main/scala/micore/Core.scala 286:9] + node _T_14 = eq(_T_13, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 286:9] + node _T_15 = asUInt(reset) @[src/main/scala/micore/Core.scala 287:9] + node _T_16 = eq(_T_15, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 287:9] + node _T_17 = asUInt(reset) @[src/main/scala/micore/Core.scala 288:9] + node _T_18 = eq(_T_17, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 288:9] + node _T_19 = asUInt(reset) @[src/main/scala/micore/Core.scala 289:9] + node _T_20 = eq(_T_19, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 289:9] + node _T_21 = asUInt(reset) @[src/main/scala/micore/Core.scala 290:9] + node _T_22 = eq(_T_21, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 290:9] + node _T_23 = asUInt(reset) @[src/main/scala/micore/Core.scala 291:9] + node _T_24 = eq(_T_23, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 291:9] + node _T_25 = asUInt(reset) @[src/main/scala/micore/Core.scala 292:9] + node _T_26 = eq(_T_25, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 292:9] + node _T_27 = asUInt(reset) @[src/main/scala/micore/Core.scala 293:9] + node _T_28 = eq(_T_27, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 293:9] + node _T_29 = asUInt(reset) @[src/main/scala/micore/Core.scala 294:9] + node _T_30 = eq(_T_29, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 294:9] + node _T_31 = asUInt(reset) @[src/main/scala/micore/Core.scala 295:9] + node _T_32 = eq(_T_31, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 295:9] + node _T_33 = asUInt(reset) @[src/main/scala/micore/Core.scala 296:9] + node _T_34 = eq(_T_33, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 296:9] + node _T_35 = asUInt(reset) @[src/main/scala/micore/Core.scala 297:9] + node _T_36 = eq(_T_35, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 297:9] + node _T_37 = asUInt(reset) @[src/main/scala/micore/Core.scala 298:9] + node _T_38 = eq(_T_37, UInt<1>("h0")) @[src/main/scala/micore/Core.scala 298:9] + io_imem_addr <= if_reg_pc @[src/main/scala/micore/Core.scala 54:16] + io_dmem_addr <= mem_reg_alu_out @[src/main/scala/micore/Core.scala 256:16] + io_dmem_wen <= bits(mem_reg_mem_wen, 0, 0) @[src/main/scala/micore/Core.scala 257:15] + io_dmem_wdata <= mem_reg_rs2_data @[src/main/scala/micore/Core.scala 258:17] + io_exit <= _io_exit_T @[src/main/scala/micore/Core.scala 280:11] + regfile.id_rs1_data_MPORT.addr <= id_rs1_addr @[src/main/scala/micore/Core.scala 114:12] + regfile.id_rs1_data_MPORT.en <= UInt<1>("h1") @[src/main/scala/micore/Core.scala 114:12] + regfile.id_rs1_data_MPORT.clk <= clock @[src/main/scala/micore/Core.scala 114:12] + regfile.id_rs2_data_MPORT.addr <= id_rs2_addr @[src/main/scala/micore/Core.scala 122:12] + regfile.id_rs2_data_MPORT.en <= UInt<1>("h1") @[src/main/scala/micore/Core.scala 122:12] + regfile.id_rs2_data_MPORT.clk <= clock @[src/main/scala/micore/Core.scala 122:12] regfile.MPORT.addr <= _GEN_0 regfile.MPORT.en <= _GEN_2 regfile.MPORT.clk <= _GEN_1 regfile.MPORT.data <= _GEN_4 regfile.MPORT.mask <= _GEN_3 - id_reg_pc <= mux(reset, UInt<32>("h0"), _id_reg_pc_T) @[src/main/scala/micore/Core.scala 19:{26,26} 77:13] - id_reg_inst <= mux(reset, UInt<32>("h0"), _id_reg_inst_T_2) @[src/main/scala/micore/Core.scala 20:{28,28} 78:15] - exe_reg_pc <= mux(reset, UInt<32>("h0"), id_reg_pc) @[src/main/scala/micore/Core.scala 187:14 23:{27,27}] - exe_reg_wb_addr <= mux(reset, UInt<5>("h0"), id_wb_addr) @[src/main/scala/micore/Core.scala 191:19 24:{32,32}] - exe_reg_op1_data <= mux(reset, UInt<32>("h0"), id_op1_data) @[src/main/scala/micore/Core.scala 188:20 25:{33,33}] - exe_reg_op2_data <= bits(mux(reset, UInt<32>("h0"), id_op2_data), 31, 0) @[src/main/scala/micore/Core.scala 189:20 26:{33,33}] - exe_reg_rs2_data <= mux(reset, UInt<32>("h0"), id_rs2_data) @[src/main/scala/micore/Core.scala 190:20 27:{33,33}] - exe_reg_exe_fun <= mux(reset, UInt<5>("h0"), csignals_0) @[src/main/scala/micore/Core.scala 198:19 28:{32,32}] - exe_reg_mem_wen <= mux(reset, UInt<2>("h0"), csignals_3) @[src/main/scala/micore/Core.scala 193:19 29:{32,32}] - exe_reg_rf_wen <= mux(reset, UInt<2>("h0"), csignals_4) @[src/main/scala/micore/Core.scala 194:18 30:{31,31}] - exe_reg_wb_sel <= mux(reset, UInt<3>("h0"), csignals_5) @[src/main/scala/micore/Core.scala 192:18 31:{31,31}] - exe_reg_imm_i_sext <= mux(reset, UInt<32>("h0"), id_imm_i_sext) @[src/main/scala/micore/Core.scala 195:22 32:{35,35}] + id_reg_pc <= mux(reset, UInt<32>("h0"), _id_reg_pc_T) @[src/main/scala/micore/Core.scala 19:{26,26} 75:13] + id_reg_inst <= mux(reset, UInt<32>("h0"), _id_reg_inst_T_2) @[src/main/scala/micore/Core.scala 20:{28,28} 76:15] + exe_reg_pc <= mux(reset, UInt<32>("h0"), id_reg_pc) @[src/main/scala/micore/Core.scala 194:14 23:{27,27}] + exe_reg_wb_addr <= mux(reset, UInt<5>("h0"), id_wb_addr) @[src/main/scala/micore/Core.scala 198:19 24:{32,32}] + exe_reg_op1_data <= mux(reset, UInt<32>("h0"), id_op1_data) @[src/main/scala/micore/Core.scala 195:20 25:{33,33}] + exe_reg_op2_data <= bits(mux(reset, UInt<32>("h0"), id_op2_data), 31, 0) @[src/main/scala/micore/Core.scala 196:20 26:{33,33}] + exe_reg_rs2_data <= mux(reset, UInt<32>("h0"), id_rs2_data) @[src/main/scala/micore/Core.scala 197:20 27:{33,33}] + exe_reg_exe_fun <= mux(reset, UInt<5>("h0"), csignals_0) @[src/main/scala/micore/Core.scala 205:19 28:{32,32}] + exe_reg_mem_wen <= mux(reset, UInt<2>("h0"), csignals_3) @[src/main/scala/micore/Core.scala 200:19 29:{32,32}] + exe_reg_rf_wen <= mux(reset, UInt<2>("h0"), csignals_4) @[src/main/scala/micore/Core.scala 201:18 30:{31,31}] + exe_reg_wb_sel <= mux(reset, UInt<3>("h0"), csignals_5) @[src/main/scala/micore/Core.scala 199:18 31:{31,31}] + exe_reg_imm_i_sext <= mux(reset, UInt<32>("h0"), id_imm_i_sext) @[src/main/scala/micore/Core.scala 202:22 32:{35,35}] exe_reg_imm_s_sext <= mux(reset, UInt<32>("h0"), exe_reg_imm_s_sext) @[src/main/scala/micore/Core.scala 33:{35,35,35}] - exe_reg_imm_b_sext <= mux(reset, UInt<32>("h0"), id_imm_b_sext) @[src/main/scala/micore/Core.scala 196:22 34:{35,35}] - exe_reg_imm_u_shifted <= mux(reset, UInt<32>("h0"), id_imm_u_shifted) @[src/main/scala/micore/Core.scala 197:25 35:{38,38}] - exe_reg_imm_z_uext <= mux(reset, UInt<32>("h0"), exe_reg_imm_z_uext) @[src/main/scala/micore/Core.scala 36:{35,35,35}] - mem_reg_pc <= mux(reset, UInt<32>("h0"), exe_reg_pc) @[src/main/scala/micore/Core.scala 242:14 39:{27,27}] - mem_reg_wb_addr <= mux(reset, UInt<5>("h0"), exe_reg_wb_addr) @[src/main/scala/micore/Core.scala 245:19 40:{32,32}] - mem_reg_op1_data <= mux(reset, UInt<32>("h0"), exe_reg_op1_data) @[src/main/scala/micore/Core.scala 243:20 41:{33,33}] - mem_reg_rs2_data <= mux(reset, UInt<32>("h0"), exe_reg_rs2_data) @[src/main/scala/micore/Core.scala 244:20 42:{33,33}] - mem_reg_mem_wen <= mux(reset, UInt<2>("h0"), exe_reg_mem_wen) @[src/main/scala/micore/Core.scala 250:19 43:{32,32}] - mem_reg_rf_wen <= mux(reset, UInt<2>("h0"), exe_reg_rf_wen) @[src/main/scala/micore/Core.scala 247:18 44:{31,31}] - mem_reg_wb_sel <= mux(reset, UInt<3>("h0"), exe_reg_wb_sel) @[src/main/scala/micore/Core.scala 248:18 45:{31,31}] - mem_reg_imm_z_uext <= mux(reset, UInt<32>("h0"), exe_reg_imm_z_uext) @[src/main/scala/micore/Core.scala 249:22 46:{35,35}] - mem_reg_alu_out <= mux(reset, UInt<32>("h0"), exe_alu_out) @[src/main/scala/micore/Core.scala 246:19 47:{32,32}] - wb_reg_wb_addr <= mux(reset, UInt<5>("h0"), mem_reg_wb_addr) @[src/main/scala/micore/Core.scala 268:18 50:{31,31}] - wb_reg_rf_wen <= mux(reset, UInt<2>("h0"), mem_reg_rf_wen) @[src/main/scala/micore/Core.scala 267:17 51:{30,30}] - wb_reg_wb_data <= mux(reset, UInt<32>("h0"), mem_wb_data) @[src/main/scala/micore/Core.scala 266:18 52:{31,31}] - if_reg_pc <= mux(reset, UInt<32>("h0"), if_pc_next) @[src/main/scala/micore/Core.scala 55:{26,26} 74:13] - printf(clock, and(and(UInt<1>("h1"), _T_2), UInt<1>("h1")), "---------------------\n") : printf @[src/main/scala/micore/Core.scala 275:9] - printf(clock, and(and(UInt<1>("h1"), _T_4), UInt<1>("h1")), "if_reg_pc: 0x%x\n", if_reg_pc) : printf_1 @[src/main/scala/micore/Core.scala 276:9] - printf(clock, and(and(UInt<1>("h1"), _T_6), UInt<1>("h1")), "id_reg_pc: 0x%x\n", id_reg_pc) : printf_2 @[src/main/scala/micore/Core.scala 277:9] - printf(clock, and(and(UInt<1>("h1"), _T_8), UInt<1>("h1")), "id_reg_inst: 0x%x\n", id_reg_inst) : printf_3 @[src/main/scala/micore/Core.scala 278:9] - printf(clock, and(and(UInt<1>("h1"), _T_10), UInt<1>("h1")), "id_inst: 0x%x\n", id_inst) : printf_4 @[src/main/scala/micore/Core.scala 279:9] - printf(clock, and(and(UInt<1>("h1"), _T_12), UInt<1>("h1")), "id_rs1_data: 0x%x\n", id_rs1_data) : printf_5 @[src/main/scala/micore/Core.scala 280:9] - printf(clock, and(and(UInt<1>("h1"), _T_14), UInt<1>("h1")), "id_rs2_data: 0x%x\n", id_rs2_data) : printf_6 @[src/main/scala/micore/Core.scala 281:9] - printf(clock, and(and(UInt<1>("h1"), _T_16), UInt<1>("h1")), "id_exe_fun: 0x%x\n", csignals_0) : printf_7 @[src/main/scala/micore/Core.scala 282:9] - printf(clock, and(and(UInt<1>("h1"), _T_18), UInt<1>("h1")), "id_op1_sel: 0x%x\n", csignals_1) : printf_8 @[src/main/scala/micore/Core.scala 283:9] - printf(clock, and(and(UInt<1>("h1"), _T_20), UInt<1>("h1")), "id_op2_sel: 0x%x\n", csignals_2) : printf_9 @[src/main/scala/micore/Core.scala 284:9] - printf(clock, and(and(UInt<1>("h1"), _T_22), UInt<1>("h1")), "exe_reg_pc: 0x%x\n", exe_reg_pc) : printf_10 @[src/main/scala/micore/Core.scala 285:9] - printf(clock, and(and(UInt<1>("h1"), _T_24), UInt<1>("h1")), "exe_reg_op1_data: 0x%x\n", id_op1_data) : printf_11 @[src/main/scala/micore/Core.scala 286:9] - printf(clock, and(and(UInt<1>("h1"), _T_26), UInt<1>("h1")), "exe_reg_op2_data: 0x%x\n", id_op2_data) : printf_12 @[src/main/scala/micore/Core.scala 287:9] - printf(clock, and(and(UInt<1>("h1"), _T_28), UInt<1>("h1")), "exe_alu_out: 0x%x\n", exe_alu_out) : printf_13 @[src/main/scala/micore/Core.scala 288:9] - printf(clock, and(and(UInt<1>("h1"), _T_30), UInt<1>("h1")), "mem_reg_pc: 0x%x\n", mem_reg_pc) : printf_14 @[src/main/scala/micore/Core.scala 289:9] - printf(clock, and(and(UInt<1>("h1"), _T_32), UInt<1>("h1")), "mem_wb_data: 0x%x\n", mem_wb_data) : printf_15 @[src/main/scala/micore/Core.scala 290:9] - printf(clock, and(and(UInt<1>("h1"), _T_34), UInt<1>("h1")), "wb_reg_wb_data: 0x%x\n", wb_reg_wb_data) : printf_16 @[src/main/scala/micore/Core.scala 291:9] - printf(clock, and(and(UInt<1>("h1"), _T_36), UInt<1>("h1")), "---------------------\n") : printf_17 @[src/main/scala/micore/Core.scala 292:9] + exe_reg_imm_b_sext <= mux(reset, UInt<32>("h0"), id_imm_b_sext) @[src/main/scala/micore/Core.scala 203:22 34:{35,35}] + exe_reg_imm_u_shifted <= mux(reset, UInt<32>("h0"), id_imm_u_shifted) @[src/main/scala/micore/Core.scala 204:25 35:{38,38}] + mem_reg_pc <= mux(reset, UInt<32>("h0"), exe_reg_pc) @[src/main/scala/micore/Core.scala 246:14 38:{27,27}] + mem_reg_wb_addr <= mux(reset, UInt<5>("h0"), exe_reg_wb_addr) @[src/main/scala/micore/Core.scala 249:19 39:{32,32}] + mem_reg_op1_data <= mux(reset, UInt<32>("h0"), exe_reg_op1_data) @[src/main/scala/micore/Core.scala 247:20 40:{33,33}] + mem_reg_rs2_data <= mux(reset, UInt<32>("h0"), exe_reg_rs2_data) @[src/main/scala/micore/Core.scala 248:20 41:{33,33}] + mem_reg_mem_wen <= mux(reset, UInt<2>("h0"), exe_reg_mem_wen) @[src/main/scala/micore/Core.scala 253:19 42:{32,32}] + mem_reg_rf_wen <= mux(reset, UInt<2>("h0"), exe_reg_rf_wen) @[src/main/scala/micore/Core.scala 251:18 43:{31,31}] + mem_reg_wb_sel <= mux(reset, UInt<3>("h0"), exe_reg_wb_sel) @[src/main/scala/micore/Core.scala 252:18 44:{31,31}] + mem_reg_alu_out <= mux(reset, UInt<32>("h0"), exe_alu_out) @[src/main/scala/micore/Core.scala 250:19 45:{32,32}] + wb_reg_wb_addr <= mux(reset, UInt<5>("h0"), mem_reg_wb_addr) @[src/main/scala/micore/Core.scala 271:18 48:{31,31}] + wb_reg_rf_wen <= mux(reset, UInt<2>("h0"), mem_reg_rf_wen) @[src/main/scala/micore/Core.scala 270:17 49:{30,30}] + wb_reg_wb_data <= mux(reset, UInt<32>("h0"), mem_wb_data) @[src/main/scala/micore/Core.scala 269:18 50:{31,31}] + if_reg_pc <= mux(reset, UInt<32>("h0"), if_pc_next) @[src/main/scala/micore/Core.scala 53:{26,26} 72:13] + printf(clock, and(and(UInt<1>("h1"), _T_4), UInt<1>("h1")), "---------------------\n") : printf @[src/main/scala/micore/Core.scala 281:9] + printf(clock, and(and(UInt<1>("h1"), _T_6), UInt<1>("h1")), "if_reg_pc: 0x%x\n", if_reg_pc) : printf_1 @[src/main/scala/micore/Core.scala 282:9] + printf(clock, and(and(UInt<1>("h1"), _T_8), UInt<1>("h1")), "id_reg_pc: 0x%x\n", id_reg_pc) : printf_2 @[src/main/scala/micore/Core.scala 283:9] + printf(clock, and(and(UInt<1>("h1"), _T_10), UInt<1>("h1")), "id_reg_inst: 0x%x\n", id_reg_inst) : printf_3 @[src/main/scala/micore/Core.scala 284:9] + printf(clock, and(and(UInt<1>("h1"), _T_12), UInt<1>("h1")), "id_inst: 0x%x\n", id_inst) : printf_4 @[src/main/scala/micore/Core.scala 285:9] + printf(clock, and(and(UInt<1>("h1"), _T_14), UInt<1>("h1")), "id_rs1_data: 0x%x\n", id_rs1_data) : printf_5 @[src/main/scala/micore/Core.scala 286:9] + printf(clock, and(and(UInt<1>("h1"), _T_16), UInt<1>("h1")), "id_rs2_data: 0x%x\n", id_rs2_data) : printf_6 @[src/main/scala/micore/Core.scala 287:9] + printf(clock, and(and(UInt<1>("h1"), _T_18), UInt<1>("h1")), "id_exe_fun: 0x%x\n", csignals_0) : printf_7 @[src/main/scala/micore/Core.scala 288:9] + printf(clock, and(and(UInt<1>("h1"), _T_20), UInt<1>("h1")), "id_op1_sel: 0x%x\n", csignals_1) : printf_8 @[src/main/scala/micore/Core.scala 289:9] + printf(clock, and(and(UInt<1>("h1"), _T_22), UInt<1>("h1")), "id_op2_sel: 0x%x\n", csignals_2) : printf_9 @[src/main/scala/micore/Core.scala 290:9] + printf(clock, and(and(UInt<1>("h1"), _T_24), UInt<1>("h1")), "exe_reg_pc: 0x%x\n", exe_reg_pc) : printf_10 @[src/main/scala/micore/Core.scala 291:9] + printf(clock, and(and(UInt<1>("h1"), _T_26), UInt<1>("h1")), "exe_reg_op1_data: 0x%x\n", id_op1_data) : printf_11 @[src/main/scala/micore/Core.scala 292:9] + printf(clock, and(and(UInt<1>("h1"), _T_28), UInt<1>("h1")), "exe_reg_op2_data: 0x%x\n", id_op2_data) : printf_12 @[src/main/scala/micore/Core.scala 293:9] + printf(clock, and(and(UInt<1>("h1"), _T_30), UInt<1>("h1")), "exe_alu_out: 0x%x\n", exe_alu_out) : printf_13 @[src/main/scala/micore/Core.scala 294:9] + printf(clock, and(and(UInt<1>("h1"), _T_32), UInt<1>("h1")), "mem_reg_pc: 0x%x\n", mem_reg_pc) : printf_14 @[src/main/scala/micore/Core.scala 295:9] + printf(clock, and(and(UInt<1>("h1"), _T_34), UInt<1>("h1")), "mem_wb_data: 0x%x\n", mem_wb_data) : printf_15 @[src/main/scala/micore/Core.scala 296:9] + printf(clock, and(and(UInt<1>("h1"), _T_36), UInt<1>("h1")), "wb_reg_wb_data: 0x%x\n", wb_reg_wb_data) : printf_16 @[src/main/scala/micore/Core.scala 297:9] + printf(clock, and(and(UInt<1>("h1"), _T_38), UInt<1>("h1")), "---------------------\n") : printf_17 @[src/main/scala/micore/Core.scala 298:9] module Memory : @[src/main/scala/micore/Memory.scala 24:7] input clock : Clock @[src/main/scala/micore/Memory.scala 24:7] diff --git a/test_run_dir/Sicore_should_run_the_C_program/TopOrigin.lo.fir b/test_run_dir/Sicore_should_run_the_C_program/TopOrigin.lo.fir index 0176dec..366d2f3 100755 --- a/test_run_dir/Sicore_should_run_the_C_program/TopOrigin.lo.fir +++ b/test_run_dir/Sicore_should_run_the_C_program/TopOrigin.lo.fir @@ -1,42 +1,43 @@ FIRRTL version 1.2.0 circuit TopOrigin : - module Core : @[src/main/scala/sicore/Core.scala 8:7] - input clock : Clock @[src/main/scala/sicore/Core.scala 8:7] - input reset : UInt<1> @[src/main/scala/sicore/Core.scala 8:7] - output io_imem_addr : UInt<32> @[src/main/scala/sicore/Core.scala 9:14] - input io_imem_inst : UInt<32> @[src/main/scala/sicore/Core.scala 9:14] - output io_dmem_addr : UInt<32> @[src/main/scala/sicore/Core.scala 9:14] - input io_dmem_rdata : UInt<32> @[src/main/scala/sicore/Core.scala 9:14] - output io_dmem_wen : UInt<1> @[src/main/scala/sicore/Core.scala 9:14] - output io_dmem_wdata : UInt<32> @[src/main/scala/sicore/Core.scala 9:14] - output io_exit : UInt<1> @[src/main/scala/sicore/Core.scala 9:14] + module Core : @[src/main/scala/sicore/Core.scala 9:7] + input clock : Clock @[src/main/scala/sicore/Core.scala 9:7] + input reset : UInt<1> @[src/main/scala/sicore/Core.scala 9:7] + output io_imem_addr : UInt<32> @[src/main/scala/sicore/Core.scala 10:14] + input io_imem_inst : UInt<32> @[src/main/scala/sicore/Core.scala 10:14] + output io_dmem_addr : UInt<32> @[src/main/scala/sicore/Core.scala 10:14] + input io_dmem_rdata : UInt<32> @[src/main/scala/sicore/Core.scala 10:14] + output io_dmem_wen : UInt<1> @[src/main/scala/sicore/Core.scala 10:14] + output io_dmem_wdata : UInt<32> @[src/main/scala/sicore/Core.scala 10:14] + output io_exit : UInt<1> @[src/main/scala/sicore/Core.scala 10:14] - mem regfile : @[src/main/scala/sicore/Core.scala 16:20] + mem regfile : @[src/main/scala/sicore/Core.scala 17:20] data-type => UInt<32> depth => 32 read-latency => 0 write-latency => 1 reader => rs_data_MPORT reader => rt_data_MPORT + reader => MPORT_1 writer => MPORT read-under-write => undefined reg pc_reg : UInt<32>, clock with : - reset => (UInt<1>("h0"), pc_reg) @[src/main/scala/sicore/Core.scala 19:23] - node _pc_plus4_T = add(pc_reg, UInt<32>("h4")) @[src/main/scala/sicore/Core.scala 22:25] - node pc_plus4 = tail(_pc_plus4_T, 1) @[src/main/scala/sicore/Core.scala 22:25] - node _jmp_flg_T = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/sicore/Core.scala 25:23] - node _jmp_flg_T_1 = eq(UInt<30>("h30000000"), _jmp_flg_T) @[src/main/scala/sicore/Core.scala 25:23] - node _jmp_flg_T_2 = and(io_imem_inst, UInt<34>("h3f000003f")) @[src/main/scala/sicore/Core.scala 25:39] - node _jmp_flg_T_3 = eq(UInt<4>("h8"), _jmp_flg_T_2) @[src/main/scala/sicore/Core.scala 25:39] - node jmp_flg = or(_jmp_flg_T_1, _jmp_flg_T_3) @[src/main/scala/sicore/Core.scala 25:31] - node _csignals_T = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_1 = eq(UInt<34>("h230000000"), _csignals_T) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_2 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_3 = eq(UInt<34>("h2b0000000"), _csignals_T_2) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + reset => (UInt<1>("h0"), pc_reg) @[src/main/scala/sicore/Core.scala 20:23] + node _pc_plus4_T = add(pc_reg, UInt<32>("h4")) @[src/main/scala/sicore/Core.scala 23:25] + node pc_plus4 = tail(_pc_plus4_T, 1) @[src/main/scala/sicore/Core.scala 23:25] + node _jmp_flg_T = and(io_imem_inst, UInt<32>("hfc000000")) @[src/main/scala/sicore/Core.scala 26:23] + node _jmp_flg_T_1 = eq(UInt<28>("hc000000"), _jmp_flg_T) @[src/main/scala/sicore/Core.scala 26:23] + node _jmp_flg_T_2 = and(io_imem_inst, UInt<32>("hfc00003f")) @[src/main/scala/sicore/Core.scala 26:39] + node _jmp_flg_T_3 = eq(UInt<4>("h8"), _jmp_flg_T_2) @[src/main/scala/sicore/Core.scala 26:39] + node jmp_flg = or(_jmp_flg_T_1, _jmp_flg_T_3) @[src/main/scala/sicore/Core.scala 26:31] + node _csignals_T = and(io_imem_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_1 = eq(UInt<32>("h8c000000"), _csignals_T) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_2 = and(io_imem_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_3 = eq(UInt<32>("hac000000"), _csignals_T_2) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_4 = and(io_imem_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_5 = eq(UInt<6>("h20"), _csignals_T_4) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_6 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_7 = eq(UInt<32>("h80000000"), _csignals_T_6) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_6 = and(io_imem_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_7 = eq(UInt<30>("h20000000"), _csignals_T_6) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_8 = and(io_imem_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_9 = eq(UInt<6>("h22"), _csignals_T_8) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_10 = and(io_imem_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] @@ -45,25 +46,25 @@ circuit TopOrigin : node _csignals_T_13 = eq(UInt<6>("h25"), _csignals_T_12) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_14 = and(io_imem_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_15 = eq(UInt<6>("h26"), _csignals_T_14) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_16 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_17 = eq(UInt<32>("hc0000000"), _csignals_T_16) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_18 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_19 = eq(UInt<32>("hd0000000"), _csignals_T_18) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_16 = and(io_imem_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_17 = eq(UInt<30>("h30000000"), _csignals_T_16) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_18 = and(io_imem_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_19 = eq(UInt<30>("h34000000"), _csignals_T_18) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_20 = and(io_imem_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_21 = eq(UInt<6>("h2a"), _csignals_T_20) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_22 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_23 = eq(UInt<31>("h40000000"), _csignals_T_22) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_24 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_25 = eq(UInt<31>("h50000000"), _csignals_T_24) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_22 = and(io_imem_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_23 = eq(UInt<29>("h10000000"), _csignals_T_22) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_24 = and(io_imem_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_25 = eq(UInt<29>("h14000000"), _csignals_T_24) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_26 = and(io_imem_inst, UInt<32>("hffe0003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_27 = eq(UInt<1>("h0"), _csignals_T_26) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_28 = and(io_imem_inst, UInt<32>("hffe0003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_29 = eq(UInt<2>("h2"), _csignals_T_28) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_30 = and(io_imem_inst, UInt<32>("hffe0003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_31 = eq(UInt<2>("h3"), _csignals_T_30) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_32 = and(io_imem_inst, UInt<34>("h3f0000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_33 = eq(UInt<30>("h30000000"), _csignals_T_32) @[src/main/scala/chisel3/util/Lookup.scala 31:38] - node _csignals_T_34 = and(io_imem_inst, UInt<34>("h3f000003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_32 = and(io_imem_inst, UInt<32>("hfc000000")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_33 = eq(UInt<28>("hc000000"), _csignals_T_32) @[src/main/scala/chisel3/util/Lookup.scala 31:38] + node _csignals_T_34 = and(io_imem_inst, UInt<32>("hfc00003f")) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_35 = eq(UInt<4>("h8"), _csignals_T_34) @[src/main/scala/chisel3/util/Lookup.scala 31:38] node _csignals_T_36 = mux(_csignals_T_35, UInt<5>("hd"), UInt<5>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node _csignals_T_37 = mux(_csignals_T_33, UInt<5>("h1"), _csignals_T_36) @[src/main/scala/chisel3/util/Lookup.scala 34:39] @@ -83,7 +84,7 @@ circuit TopOrigin : node _csignals_T_51 = mux(_csignals_T_5, UInt<5>("h1"), _csignals_T_50) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node _csignals_T_52 = mux(_csignals_T_3, UInt<5>("h1"), _csignals_T_51) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node csignals_0 = mux(_csignals_T_1, UInt<5>("h1"), _csignals_T_52) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _alu_out_T = eq(csignals_0, UInt<5>("h1")) @[src/main/scala/sicore/Core.scala 97:16] + node _alu_out_T = eq(csignals_0, UInt<5>("h1")) @[src/main/scala/sicore/Core.scala 98:16] node _csignals_T_53 = mux(_csignals_T_35, UInt<2>("h1"), UInt<2>("h1")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node _csignals_T_54 = mux(_csignals_T_33, UInt<2>("h2"), _csignals_T_53) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node _csignals_T_55 = mux(_csignals_T_31, UInt<2>("h1"), _csignals_T_54) @[src/main/scala/chisel3/util/Lookup.scala 34:39] @@ -102,11 +103,11 @@ circuit TopOrigin : node _csignals_T_68 = mux(_csignals_T_5, UInt<2>("h1"), _csignals_T_67) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node _csignals_T_69 = mux(_csignals_T_3, UInt<2>("h1"), _csignals_T_68) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node csignals_1 = mux(_csignals_T_1, UInt<2>("h1"), _csignals_T_69) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _op1_data_T = eq(csignals_1, UInt<2>("h1")) @[src/main/scala/sicore/Core.scala 79:16] - node rs_addr = bits(io_imem_inst, 25, 21) @[src/main/scala/sicore/Core.scala 39:21] - node _rs_data_T = neq(rs_addr, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 42:30] - node rs_data = mux(_rs_data_T, regfile.rs_data_MPORT.data, UInt<32>("h0")) @[src/main/scala/sicore/Core.scala 42:20] - node _op1_data_T_1 = eq(csignals_1, UInt<2>("h2")) @[src/main/scala/sicore/Core.scala 80:16] + node _op1_data_T = eq(csignals_1, UInt<2>("h1")) @[src/main/scala/sicore/Core.scala 80:16] + node rs_addr = bits(io_imem_inst, 25, 21) @[src/main/scala/sicore/Core.scala 40:21] + node _rs_data_T = neq(rs_addr, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 43:30] + node rs_data = mux(_rs_data_T, regfile.rs_data_MPORT.data, UInt<32>("h0")) @[src/main/scala/sicore/Core.scala 43:20] + node _op1_data_T_1 = eq(csignals_1, UInt<2>("h2")) @[src/main/scala/sicore/Core.scala 81:16] node _op1_data_T_2 = mux(_op1_data_T_1, pc_reg, UInt<32>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] node op1_data = mux(_op1_data_T, rs_data, _op1_data_T_2) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _csignals_T_70 = mux(_csignals_T_35, UInt<3>("h0"), UInt<3>("h1")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] @@ -127,50 +128,50 @@ circuit TopOrigin : node _csignals_T_85 = mux(_csignals_T_5, UInt<3>("h1"), _csignals_T_84) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node _csignals_T_86 = mux(_csignals_T_3, UInt<3>("h2"), _csignals_T_85) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node csignals_2 = mux(_csignals_T_1, UInt<3>("h2"), _csignals_T_86) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _op2_data_T = eq(csignals_2, UInt<3>("h1")) @[src/main/scala/sicore/Core.scala 87:16] - node rt_addr = bits(io_imem_inst, 20, 16) @[src/main/scala/sicore/Core.scala 40:21] - node _rt_data_T = neq(rt_addr, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 43:30] - node rt_data = mux(_rt_data_T, regfile.rt_data_MPORT.data, UInt<32>("h0")) @[src/main/scala/sicore/Core.scala 43:20] - node _op2_data_T_1 = eq(csignals_2, UInt<3>("h2")) @[src/main/scala/sicore/Core.scala 88:16] - node imm_i = bits(io_imem_inst, 15, 0) @[src/main/scala/sicore/Core.scala 44:19] - node _imm_i_sext_T = bits(imm_i, 15, 15) @[src/main/scala/sicore/Core.scala 45:38] - node _imm_i_sext_T_1 = mux(_imm_i_sext_T, UInt<16>("hffff"), UInt<16>("h0")) @[src/main/scala/sicore/Core.scala 45:28] - node imm_i_sext = cat(_imm_i_sext_T_1, imm_i) @[src/main/scala/sicore/Core.scala 45:23] - node _op2_data_T_2 = eq(csignals_2, UInt<3>("h4")) @[src/main/scala/sicore/Core.scala 89:16] - node _imm_j_T = bits(io_imem_inst, 25, 0) @[src/main/scala/sicore/Core.scala 46:23] - node _imm_j_T_1 = mux(UInt<1>("h0"), UInt<2>("h3"), UInt<2>("h0")) @[src/main/scala/sicore/Core.scala 46:36] - node imm_j = cat(_imm_j_T, _imm_j_T_1) @[src/main/scala/sicore/Core.scala 46:18] + node _op2_data_T = eq(csignals_2, UInt<3>("h1")) @[src/main/scala/sicore/Core.scala 88:16] + node rt_addr = bits(io_imem_inst, 20, 16) @[src/main/scala/sicore/Core.scala 41:21] + node _rt_data_T = neq(rt_addr, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 44:30] + node rt_data = mux(_rt_data_T, regfile.rt_data_MPORT.data, UInt<32>("h0")) @[src/main/scala/sicore/Core.scala 44:20] + node _op2_data_T_1 = eq(csignals_2, UInt<3>("h2")) @[src/main/scala/sicore/Core.scala 89:16] + node imm_i = bits(io_imem_inst, 15, 0) @[src/main/scala/sicore/Core.scala 45:19] + node _imm_i_sext_T = bits(imm_i, 15, 15) @[src/main/scala/sicore/Core.scala 46:38] + node _imm_i_sext_T_1 = mux(_imm_i_sext_T, UInt<16>("hffff"), UInt<16>("h0")) @[src/main/scala/sicore/Core.scala 46:28] + node imm_i_sext = cat(_imm_i_sext_T_1, imm_i) @[src/main/scala/sicore/Core.scala 46:23] + node _op2_data_T_2 = eq(csignals_2, UInt<3>("h4")) @[src/main/scala/sicore/Core.scala 90:16] + node _imm_j_T = bits(io_imem_inst, 25, 0) @[src/main/scala/sicore/Core.scala 47:23] + node _imm_j_T_1 = mux(UInt<1>("h0"), UInt<2>("h3"), UInt<2>("h0")) @[src/main/scala/sicore/Core.scala 47:36] + node imm_j = cat(_imm_j_T, _imm_j_T_1) @[src/main/scala/sicore/Core.scala 47:18] node _op2_data_T_3 = mux(_op2_data_T_2, imm_j, UInt<32>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _op2_data_T_4 = mux(_op2_data_T_1, imm_i_sext, _op2_data_T_3) @[src/main/scala/chisel3/util/Mux.scala 126:16] node op2_data = mux(_op2_data_T, rt_data, _op2_data_T_4) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _alu_out_T_1 = add(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 97:42] - node _alu_out_T_2 = tail(_alu_out_T_1, 1) @[src/main/scala/sicore/Core.scala 97:42] - node _alu_out_T_3 = eq(csignals_0, UInt<5>("h2")) @[src/main/scala/sicore/Core.scala 98:16] - node _alu_out_T_4 = sub(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 98:42] - node _alu_out_T_5 = tail(_alu_out_T_4, 1) @[src/main/scala/sicore/Core.scala 98:42] - node _alu_out_T_6 = eq(csignals_0, UInt<5>("h3")) @[src/main/scala/sicore/Core.scala 99:16] - node _alu_out_T_7 = and(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 99:42] - node _alu_out_T_8 = eq(csignals_0, UInt<5>("h4")) @[src/main/scala/sicore/Core.scala 100:16] - node _alu_out_T_9 = or(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 100:41] - node _alu_out_T_10 = eq(csignals_0, UInt<5>("h5")) @[src/main/scala/sicore/Core.scala 101:16] - node _alu_out_T_11 = xor(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 101:42] - node _alu_out_T_12 = eq(csignals_0, UInt<5>("h6")) @[src/main/scala/sicore/Core.scala 102:16] - node _alu_out_T_13 = bits(op2_data, 4, 0) @[src/main/scala/sicore/Core.scala 102:53] - node _alu_out_T_14 = dshl(op1_data, _alu_out_T_13) @[src/main/scala/sicore/Core.scala 102:42] - node _alu_out_T_15 = bits(_alu_out_T_14, 31, 0) @[src/main/scala/sicore/Core.scala 102:60] - node _alu_out_T_16 = eq(csignals_0, UInt<5>("h7")) @[src/main/scala/sicore/Core.scala 103:16] - node _alu_out_T_17 = bits(op2_data, 4, 0) @[src/main/scala/sicore/Core.scala 103:53] - node _alu_out_T_18 = dshr(op1_data, _alu_out_T_17) @[src/main/scala/sicore/Core.scala 103:42] - node _alu_out_T_19 = eq(csignals_0, UInt<5>("h8")) @[src/main/scala/sicore/Core.scala 104:16] - node _alu_out_T_20 = asSInt(op1_data) @[src/main/scala/sicore/Core.scala 104:42] - node _alu_out_T_21 = bits(op2_data, 4, 0) @[src/main/scala/sicore/Core.scala 104:60] - node _alu_out_T_22 = dshr(_alu_out_T_20, _alu_out_T_21) @[src/main/scala/sicore/Core.scala 104:49] - node _alu_out_T_23 = asUInt(_alu_out_T_22) @[src/main/scala/sicore/Core.scala 104:68] - node _alu_out_T_24 = eq(csignals_0, UInt<5>("h9")) @[src/main/scala/sicore/Core.scala 105:16] - node _alu_out_T_25 = asSInt(op1_data) @[src/main/scala/sicore/Core.scala 105:42] - node _alu_out_T_26 = asSInt(op2_data) @[src/main/scala/sicore/Core.scala 105:60] - node _alu_out_T_27 = lt(_alu_out_T_25, _alu_out_T_26) @[src/main/scala/sicore/Core.scala 105:49] - node _alu_out_T_28 = eq(csignals_0, UInt<5>("hd")) @[src/main/scala/sicore/Core.scala 106:16] + node _alu_out_T_1 = add(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 98:42] + node _alu_out_T_2 = tail(_alu_out_T_1, 1) @[src/main/scala/sicore/Core.scala 98:42] + node _alu_out_T_3 = eq(csignals_0, UInt<5>("h2")) @[src/main/scala/sicore/Core.scala 99:16] + node _alu_out_T_4 = sub(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 99:42] + node _alu_out_T_5 = tail(_alu_out_T_4, 1) @[src/main/scala/sicore/Core.scala 99:42] + node _alu_out_T_6 = eq(csignals_0, UInt<5>("h3")) @[src/main/scala/sicore/Core.scala 100:16] + node _alu_out_T_7 = and(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 100:42] + node _alu_out_T_8 = eq(csignals_0, UInt<5>("h4")) @[src/main/scala/sicore/Core.scala 101:16] + node _alu_out_T_9 = or(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 101:41] + node _alu_out_T_10 = eq(csignals_0, UInt<5>("h5")) @[src/main/scala/sicore/Core.scala 102:16] + node _alu_out_T_11 = xor(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 102:42] + node _alu_out_T_12 = eq(csignals_0, UInt<5>("h6")) @[src/main/scala/sicore/Core.scala 103:16] + node _alu_out_T_13 = bits(op2_data, 4, 0) @[src/main/scala/sicore/Core.scala 103:53] + node _alu_out_T_14 = dshl(op1_data, _alu_out_T_13) @[src/main/scala/sicore/Core.scala 103:42] + node _alu_out_T_15 = bits(_alu_out_T_14, 31, 0) @[src/main/scala/sicore/Core.scala 103:60] + node _alu_out_T_16 = eq(csignals_0, UInt<5>("h7")) @[src/main/scala/sicore/Core.scala 104:16] + node _alu_out_T_17 = bits(op2_data, 4, 0) @[src/main/scala/sicore/Core.scala 104:53] + node _alu_out_T_18 = dshr(op1_data, _alu_out_T_17) @[src/main/scala/sicore/Core.scala 104:42] + node _alu_out_T_19 = eq(csignals_0, UInt<5>("h8")) @[src/main/scala/sicore/Core.scala 105:16] + node _alu_out_T_20 = asSInt(op1_data) @[src/main/scala/sicore/Core.scala 105:42] + node _alu_out_T_21 = bits(op2_data, 4, 0) @[src/main/scala/sicore/Core.scala 105:60] + node _alu_out_T_22 = dshr(_alu_out_T_20, _alu_out_T_21) @[src/main/scala/sicore/Core.scala 105:49] + node _alu_out_T_23 = asUInt(_alu_out_T_22) @[src/main/scala/sicore/Core.scala 105:68] + node _alu_out_T_24 = eq(csignals_0, UInt<5>("h9")) @[src/main/scala/sicore/Core.scala 106:16] + node _alu_out_T_25 = asSInt(op1_data) @[src/main/scala/sicore/Core.scala 106:42] + node _alu_out_T_26 = asSInt(op2_data) @[src/main/scala/sicore/Core.scala 106:60] + node _alu_out_T_27 = lt(_alu_out_T_25, _alu_out_T_26) @[src/main/scala/sicore/Core.scala 106:49] + node _alu_out_T_28 = eq(csignals_0, UInt<5>("hd")) @[src/main/scala/sicore/Core.scala 107:16] node _alu_out_T_29 = mux(_alu_out_T_28, op1_data, UInt<32>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _alu_out_T_30 = mux(_alu_out_T_24, _alu_out_T_27, _alu_out_T_29) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _alu_out_T_31 = mux(_alu_out_T_19, _alu_out_T_23, _alu_out_T_30) @[src/main/scala/chisel3/util/Mux.scala 126:16] @@ -181,22 +182,22 @@ circuit TopOrigin : node _alu_out_T_36 = mux(_alu_out_T_6, _alu_out_T_7, _alu_out_T_35) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _alu_out_T_37 = mux(_alu_out_T_3, _alu_out_T_5, _alu_out_T_36) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _alu_out_T_38 = mux(_alu_out_T, _alu_out_T_2, _alu_out_T_37) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node alu_out = _alu_out_T_38 @[src/main/scala/sicore/Core.scala 26:21 94:11] + node alu_out = _alu_out_T_38 @[src/main/scala/sicore/Core.scala 27:21 95:11] node _pc_next_T = mux(jmp_flg, alu_out, pc_plus4) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _br_flg_T = eq(csignals_0, UInt<5>("hb")) @[src/main/scala/sicore/Core.scala 113:16] - node _br_flg_T_1 = eq(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 113:41] - node _br_flg_T_2 = eq(csignals_0, UInt<5>("hc")) @[src/main/scala/sicore/Core.scala 114:16] - node _br_flg_T_3 = eq(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 114:42] - node _br_flg_T_4 = eq(_br_flg_T_3, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 114:31] + node _br_flg_T = eq(csignals_0, UInt<5>("hb")) @[src/main/scala/sicore/Core.scala 114:16] + node _br_flg_T_1 = eq(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 114:41] + node _br_flg_T_2 = eq(csignals_0, UInt<5>("hc")) @[src/main/scala/sicore/Core.scala 115:16] + node _br_flg_T_3 = eq(op1_data, op2_data) @[src/main/scala/sicore/Core.scala 115:42] + node _br_flg_T_4 = eq(_br_flg_T_3, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 115:31] node _br_flg_T_5 = mux(_br_flg_T_2, _br_flg_T_4, UInt<1>("h0")) @[src/main/scala/chisel3/util/Mux.scala 126:16] node _br_flg_T_6 = mux(_br_flg_T, _br_flg_T_1, _br_flg_T_5) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node br_flg = _br_flg_T_6 @[src/main/scala/sicore/Core.scala 110:10 23:20] - node _br_target_T = dshl(imm_i_sext, UInt<5>("h2")) @[src/main/scala/sicore/Core.scala 119:37] - node _br_target_T_1 = add(pc_reg, _br_target_T) @[src/main/scala/sicore/Core.scala 119:23] - node _br_target_T_2 = tail(_br_target_T_1, 1) @[src/main/scala/sicore/Core.scala 119:23] - node br_target = bits(_br_target_T_2, 31, 0) @[src/main/scala/sicore/Core.scala 119:13 24:23] + node br_flg = _br_flg_T_6 @[src/main/scala/sicore/Core.scala 111:10 24:20] + node _br_target_T = dshl(imm_i_sext, UInt<5>("h2")) @[src/main/scala/sicore/Core.scala 120:37] + node _br_target_T_1 = add(pc_reg, _br_target_T) @[src/main/scala/sicore/Core.scala 120:23] + node _br_target_T_2 = tail(_br_target_T_1, 1) @[src/main/scala/sicore/Core.scala 120:23] + node br_target = bits(_br_target_T_2, 31, 0) @[src/main/scala/sicore/Core.scala 120:13 25:23] node pc_next = mux(br_flg, br_target, _pc_next_T) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node rd_addr = bits(io_imem_inst, 15, 11) @[src/main/scala/sicore/Core.scala 41:21] + node rd_addr = bits(io_imem_inst, 15, 11) @[src/main/scala/sicore/Core.scala 42:21] node _csignals_T_87 = mux(_csignals_T_35, UInt<2>("h0"), UInt<2>("h0")) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node _csignals_T_88 = mux(_csignals_T_33, UInt<2>("h0"), _csignals_T_87) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node _csignals_T_89 = mux(_csignals_T_31, UInt<2>("h0"), _csignals_T_88) @[src/main/scala/chisel3/util/Lookup.scala 34:39] @@ -251,60 +252,89 @@ circuit TopOrigin : node _csignals_T_136 = mux(_csignals_T_5, UInt<3>("h1"), _csignals_T_135) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node _csignals_T_137 = mux(_csignals_T_3, UInt<3>("h0"), _csignals_T_136) @[src/main/scala/chisel3/util/Lookup.scala 34:39] node csignals_5 = mux(_csignals_T_1, UInt<3>("h2"), _csignals_T_137) @[src/main/scala/chisel3/util/Lookup.scala 34:39] - node _wb_data_T = eq(csignals_5, UInt<3>("h2")) @[src/main/scala/sicore/Core.scala 130:15] - node _wb_data_T_1 = eq(csignals_5, UInt<3>("h3")) @[src/main/scala/sicore/Core.scala 131:15] + node _wb_data_T = eq(csignals_5, UInt<3>("h2")) @[src/main/scala/sicore/Core.scala 131:15] + node _wb_data_T_1 = eq(csignals_5, UInt<3>("h3")) @[src/main/scala/sicore/Core.scala 132:15] node _wb_data_T_2 = mux(_wb_data_T_1, pc_plus4, alu_out) @[src/main/scala/chisel3/util/Mux.scala 126:16] node wb_data = mux(_wb_data_T, io_dmem_rdata, _wb_data_T_2) @[src/main/scala/chisel3/util/Mux.scala 126:16] - node _T = eq(csignals_4, UInt<2>("h1")) @[src/main/scala/sicore/Core.scala 136:15] - node _T_1 = neq(rd_addr, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 136:36] - node _T_2 = and(_T, _T_1) @[src/main/scala/sicore/Core.scala 136:25] - node _GEN_0 = validif(_T_2, rd_addr) @[src/main/scala/sicore/Core.scala 136:45 137:12] - node _GEN_1 = validif(_T_2, clock) @[src/main/scala/sicore/Core.scala 136:45 137:12] - node _GEN_2 = mux(_T_2, UInt<1>("h1"), UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 136:45 137:12 16:20] - node _GEN_3 = validif(_T_2, UInt<1>("h1")) @[src/main/scala/sicore/Core.scala 136:45 137:22] - node _GEN_4 = validif(_T_2, wb_data) @[src/main/scala/sicore/Core.scala 136:45 137:22] - node _io_exit_T = eq(io_imem_inst, UInt<32>("h114514")) @[src/main/scala/sicore/Core.scala 140:20] - node _T_3 = asUInt(reset) @[src/main/scala/sicore/Core.scala 143:9] - node _T_4 = eq(_T_3, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 143:9] - node _T_5 = asUInt(reset) @[src/main/scala/sicore/Core.scala 144:9] - node _T_6 = eq(_T_5, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 144:9] - node _T_7 = asUInt(reset) @[src/main/scala/sicore/Core.scala 145:9] - node _T_8 = eq(_T_7, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 145:9] - node _T_9 = asUInt(reset) @[src/main/scala/sicore/Core.scala 146:9] - node _T_10 = eq(_T_9, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 146:9] - node _T_11 = asUInt(reset) @[src/main/scala/sicore/Core.scala 147:9] - node _T_12 = eq(_T_11, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 147:9] - node _T_13 = asUInt(reset) @[src/main/scala/sicore/Core.scala 148:9] - node _T_14 = eq(_T_13, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 148:9] - node _T_15 = asUInt(reset) @[src/main/scala/sicore/Core.scala 149:9] - node _T_16 = eq(_T_15, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 149:9] - node _T_17 = asUInt(reset) @[src/main/scala/sicore/Core.scala 150:9] - node _T_18 = eq(_T_17, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 150:9] - io_imem_addr <= pc_reg @[src/main/scala/sicore/Core.scala 20:16] - io_dmem_addr <= alu_out @[src/main/scala/sicore/Core.scala 122:16] - io_dmem_wen <= bits(csignals_3, 0, 0) @[src/main/scala/sicore/Core.scala 123:15] - io_dmem_wdata <= rt_data @[src/main/scala/sicore/Core.scala 124:17] - io_exit <= _io_exit_T @[src/main/scala/sicore/Core.scala 140:11] - regfile.rs_data_MPORT.addr <= rs_addr @[src/main/scala/sicore/Core.scala 42:47] - regfile.rs_data_MPORT.en <= UInt<1>("h1") @[src/main/scala/sicore/Core.scala 42:47] - regfile.rs_data_MPORT.clk <= clock @[src/main/scala/sicore/Core.scala 42:47] - regfile.rt_data_MPORT.addr <= rt_addr @[src/main/scala/sicore/Core.scala 43:47] - regfile.rt_data_MPORT.en <= UInt<1>("h1") @[src/main/scala/sicore/Core.scala 43:47] - regfile.rt_data_MPORT.clk <= clock @[src/main/scala/sicore/Core.scala 43:47] + node _wb_addr_T = eq(csignals_5, UInt<3>("h1")) @[src/main/scala/sicore/Core.scala 140:15] + node _wb_addr_T_1 = bits(io_imem_inst, 31, 26) @[src/main/scala/sicore/Core.scala 140:33] + node _wb_addr_T_2 = eq(_wb_addr_T_1, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 140:42] + node _wb_addr_T_3 = and(_wb_addr_T, _wb_addr_T_2) @[src/main/scala/sicore/Core.scala 140:26] + node _wb_addr_T_4 = and(io_imem_inst, UInt<32>("hfc000000")) @[src/main/scala/sicore/Core.scala 141:13] + node _wb_addr_T_5 = eq(UInt<28>("hc000000"), _wb_addr_T_4) @[src/main/scala/sicore/Core.scala 141:13] + node _wb_addr_T_6 = mux(_wb_addr_T_5, UInt<5>("h1f"), rt_addr) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node wb_addr = mux(_wb_addr_T_3, rd_addr, _wb_addr_T_6) @[src/main/scala/chisel3/util/Mux.scala 126:16] + node _T = eq(csignals_4, UInt<2>("h1")) @[src/main/scala/sicore/Core.scala 146:15] + node _T_1 = neq(wb_addr, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 146:36] + node _T_2 = and(_T, _T_1) @[src/main/scala/sicore/Core.scala 146:25] + node _GEN_0 = validif(_T_2, wb_addr) @[src/main/scala/sicore/Core.scala 146:45 147:12] + node _GEN_1 = validif(_T_2, clock) @[src/main/scala/sicore/Core.scala 146:45 147:12] + node _GEN_2 = mux(_T_2, UInt<1>("h1"), UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 146:45 147:12 17:20] + node _GEN_3 = validif(_T_2, UInt<1>("h1")) @[src/main/scala/sicore/Core.scala 146:45 147:22] + node _GEN_4 = validif(_T_2, wb_data) @[src/main/scala/sicore/Core.scala 146:45 147:22] + node _io_exit_T = eq(io_imem_inst, UInt<32>("h114514")) @[src/main/scala/sicore/Core.scala 150:20] + node _T_3 = asUInt(reset) @[src/main/scala/sicore/Core.scala 153:9] + node _T_4 = eq(_T_3, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 153:9] + node _T_5 = asUInt(reset) @[src/main/scala/sicore/Core.scala 154:9] + node _T_6 = eq(_T_5, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 154:9] + node _T_7 = asUInt(reset) @[src/main/scala/sicore/Core.scala 155:9] + node _T_8 = eq(_T_7, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 155:9] + node _T_9 = asUInt(reset) @[src/main/scala/sicore/Core.scala 156:9] + node _T_10 = eq(_T_9, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 156:9] + node _T_11 = asUInt(reset) @[src/main/scala/sicore/Core.scala 157:9] + node _T_12 = eq(_T_11, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 157:9] + node _T_13 = asUInt(reset) @[src/main/scala/sicore/Core.scala 158:9] + node _T_14 = eq(_T_13, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 158:9] + node _T_15 = asUInt(reset) @[src/main/scala/sicore/Core.scala 159:9] + node _T_16 = eq(_T_15, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 159:9] + node _T_17 = asUInt(reset) @[src/main/scala/sicore/Core.scala 160:9] + node _T_18 = eq(_T_17, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 160:9] + node _T_19 = asUInt(reset) @[src/main/scala/sicore/Core.scala 161:9] + node _T_20 = eq(_T_19, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 161:9] + node _T_21 = asUInt(reset) @[src/main/scala/sicore/Core.scala 162:9] + node _T_22 = eq(_T_21, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 162:9] + node _T_23 = asUInt(reset) @[src/main/scala/sicore/Core.scala 164:9] + node _T_24 = eq(_T_23, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 164:9] + node _T_25 = asUInt(reset) @[src/main/scala/sicore/Core.scala 165:9] + node _T_26 = eq(_T_25, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 165:9] + node _T_27 = asUInt(reset) @[src/main/scala/sicore/Core.scala 166:9] + node _T_28 = eq(_T_27, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 166:9] + node _T_29 = asUInt(reset) @[src/main/scala/sicore/Core.scala 167:9] + node _T_30 = eq(_T_29, UInt<1>("h0")) @[src/main/scala/sicore/Core.scala 167:9] + io_imem_addr <= pc_reg @[src/main/scala/sicore/Core.scala 21:16] + io_dmem_addr <= alu_out @[src/main/scala/sicore/Core.scala 123:16] + io_dmem_wen <= bits(csignals_3, 0, 0) @[src/main/scala/sicore/Core.scala 124:15] + io_dmem_wdata <= rt_data @[src/main/scala/sicore/Core.scala 125:17] + io_exit <= _io_exit_T @[src/main/scala/sicore/Core.scala 150:11] + regfile.rs_data_MPORT.addr <= rs_addr @[src/main/scala/sicore/Core.scala 43:47] + regfile.rs_data_MPORT.en <= UInt<1>("h1") @[src/main/scala/sicore/Core.scala 43:47] + regfile.rs_data_MPORT.clk <= clock @[src/main/scala/sicore/Core.scala 43:47] + regfile.rt_data_MPORT.addr <= rt_addr @[src/main/scala/sicore/Core.scala 44:47] + regfile.rt_data_MPORT.en <= UInt<1>("h1") @[src/main/scala/sicore/Core.scala 44:47] + regfile.rt_data_MPORT.clk <= clock @[src/main/scala/sicore/Core.scala 44:47] + regfile.MPORT_1.addr <= rt_addr @[src/main/scala/sicore/Core.scala 161:40] + regfile.MPORT_1.en <= UInt<1>("h1") @[src/main/scala/sicore/Core.scala 161:40] + regfile.MPORT_1.clk <= clock @[src/main/scala/sicore/Core.scala 161:40] regfile.MPORT.addr <= _GEN_0 regfile.MPORT.en <= _GEN_2 regfile.MPORT.clk <= _GEN_1 regfile.MPORT.data <= _GEN_4 regfile.MPORT.mask <= _GEN_3 - pc_reg <= mux(reset, UInt<32>("h0"), pc_next) @[src/main/scala/sicore/Core.scala 19:{23,23} 36:10] - printf(clock, and(and(UInt<1>("h1"), _T_4), UInt<1>("h1")), "---------------\n") : printf @[src/main/scala/sicore/Core.scala 143:9] - printf(clock, and(and(UInt<1>("h1"), _T_6), UInt<1>("h1")), "io.pc: 0x%x\ninst: 0x%x \n", pc_reg, io_imem_inst) : printf_1 @[src/main/scala/sicore/Core.scala 144:9] - printf(clock, and(and(UInt<1>("h1"), _T_8), UInt<1>("h1")), "pc_next: 0x%x\n", pc_next) : printf_2 @[src/main/scala/sicore/Core.scala 145:9] - printf(clock, and(and(UInt<1>("h1"), _T_10), UInt<1>("h1")), "rs_addr: 0x%x\n", rs_addr) : printf_3 @[src/main/scala/sicore/Core.scala 146:9] - printf(clock, and(and(UInt<1>("h1"), _T_12), UInt<1>("h1")), "rd_addr: 0x%x\n", rd_addr) : printf_4 @[src/main/scala/sicore/Core.scala 147:9] - printf(clock, and(and(UInt<1>("h1"), _T_14), UInt<1>("h1")), "rs_data: 0x%x\n", rs_data) : printf_5 @[src/main/scala/sicore/Core.scala 148:9] - printf(clock, and(and(UInt<1>("h1"), _T_16), UInt<1>("h1")), "wb_data: 0x%x\n", wb_data) : printf_6 @[src/main/scala/sicore/Core.scala 149:9] - printf(clock, and(and(UInt<1>("h1"), _T_18), UInt<1>("h1")), "---------------\n") : printf_7 @[src/main/scala/sicore/Core.scala 150:9] + pc_reg <= mux(reset, UInt<32>("h0"), pc_next) @[src/main/scala/sicore/Core.scala 20:{23,23} 37:10] + printf(clock, and(and(UInt<1>("h1"), _T_4), UInt<1>("h1")), "---------------\n") : printf @[src/main/scala/sicore/Core.scala 153:9] + printf(clock, and(and(UInt<1>("h1"), _T_6), UInt<1>("h1")), "io.imem.inst: 0x%x\n", io_imem_inst) : printf_1 @[src/main/scala/sicore/Core.scala 154:9] + printf(clock, and(and(UInt<1>("h1"), _T_8), UInt<1>("h1")), "io.pc: 0x%x\ninst: 0x%b \n", pc_reg, io_imem_inst) : printf_2 @[src/main/scala/sicore/Core.scala 155:9] + printf(clock, and(and(UInt<1>("h1"), _T_10), UInt<1>("h1")), "pc_next: 0x%x\n", pc_next) : printf_3 @[src/main/scala/sicore/Core.scala 156:9] + printf(clock, and(and(UInt<1>("h1"), _T_12), UInt<1>("h1")), "exe_fun: 0x%x\n", csignals_0) : printf_4 @[src/main/scala/sicore/Core.scala 157:9] + printf(clock, and(and(UInt<1>("h1"), _T_14), UInt<1>("h1")), "rs_addr: 0x%x\n", rs_addr) : printf_5 @[src/main/scala/sicore/Core.scala 158:9] + printf(clock, and(and(UInt<1>("h1"), _T_16), UInt<1>("h1")), "rt_addr: 0x%x\n", rt_addr) : printf_6 @[src/main/scala/sicore/Core.scala 159:9] + printf(clock, and(and(UInt<1>("h1"), _T_18), UInt<1>("h1")), "rd_addr: 0x%x\n", rd_addr) : printf_7 @[src/main/scala/sicore/Core.scala 160:9] + printf(clock, and(and(UInt<1>("h1"), _T_20), UInt<1>("h1")), "reg: 0x%x\n", regfile.MPORT_1.data) : printf_8 @[src/main/scala/sicore/Core.scala 161:9] + printf(clock, and(and(UInt<1>("h1"), _T_22), UInt<1>("h1")), "rf_wen: 0x%x\n", csignals_4) : printf_9 @[src/main/scala/sicore/Core.scala 162:9] + printf(clock, and(and(UInt<1>("h1"), _T_24), UInt<1>("h1")), "rs_data: 0x%x\n", rs_data) : printf_10 @[src/main/scala/sicore/Core.scala 164:9] + printf(clock, and(and(UInt<1>("h1"), _T_26), UInt<1>("h1")), "rt_data: 0x%x\n", rt_data) : printf_11 @[src/main/scala/sicore/Core.scala 165:9] + printf(clock, and(and(UInt<1>("h1"), _T_28), UInt<1>("h1")), "wb_data: 0x%x\n", wb_data) : printf_12 @[src/main/scala/sicore/Core.scala 166:9] + printf(clock, and(and(UInt<1>("h1"), _T_30), UInt<1>("h1")), "---------------\n") : printf_13 @[src/main/scala/sicore/Core.scala 167:9] module Memory : @[src/main/scala/sicore/Memory.scala 24:7] input clock : Clock @[src/main/scala/sicore/Memory.scala 24:7]