Some bugs need to be fixed.
This commit is contained in:
10
micore.xdc
10
micore.xdc
@ -1,12 +1,10 @@
|
||||
create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
|
||||
set_property PACKAGE_PIN W5 [get_ports clk]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports clk]
|
||||
set_property PACKAGE_PIN W5 [get_ports clock]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports clock]
|
||||
set_property PACKAGE_PIN V17 [get_ports reset]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports reset]
|
||||
set_property PACKAGE_PIN E19 [get_ports success_led]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports success_led]
|
||||
set_property PACKAGE_PIN U19 [get_ports fail_led]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports fail_led]
|
||||
set_property PACKAGE_PIN E19 [get_ports io_exit]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports io_exit]
|
||||
set_property PACKAGE_PIN U2 [get_ports {io_anodes[0]}]
|
||||
set_property PACKAGE_PIN U4 [get_ports {io_anodes[1]}]
|
||||
set_property PACKAGE_PIN V4 [get_ports {io_anodes[2]}]
|
||||
|
||||
Reference in New Issue
Block a user